• 통큰쿠폰이벤트-통합
  • 통합검색(887)
  • 리포트(882)
  • 자기소개서(2)
  • 논문(1)
  • 시험자료(1)
  • 방송통신대(1)

바로가기

방송통신대 - 2024 방송통신대 리포트 및 과제물 업데이트, 중간고사/기말고사자료

"디지털논리회로실험보고서" 검색결과 241-260 / 887건

  • 예비보고서(6 멀티플렉서)
    실험제목 :멀티플렉서- 예비보고서1. 목적조합논리회로의 또 다른 예로서 멀티플렉서와 디멀티플렉서의 동작 원리 및 특성을 확인한다.2. ... 관련이론가산기, 비교기, 디코더, 인코더, 코드 변환기 등 여러 종류의 고정기능 조합논리회로 중에서 지난 실험에서는 디코더, 인코더에 대해서 했으며, 이번 실험에서는 멀티플렉서, 디멀티플렉서에 ... 표는 이와 같은 동작을 정리한 것이다.◀ 그림 24-입력 MUX의 데이터 선택 진리표이번에는 멀티플렉서의 동작을 구현하는 데 필요한 논리회로를 알아본다.
    리포트 | 6페이지 | 2,000원 | 등록일 2020.10.14 | 수정일 2020.11.12
  • 예비보고서(5) 부호기복호기
    실험제목 :부호기, 복호기- 예비보고서1. 목적논리회로는 일반적으로 조합논리회로와 순서논리회로로 구분된다. ... 조합논리회로실험 1 기본논리게이트에서 제시된 기본논리게이트들의 조합을 통해서 구성된 논리회로이고, 순서논리회로는 입력 신호의 순서에 따라서 동작과 출력이 다르게 나타나는 논리회로이다 ... 디지털 회로에서는 이러한 전압들은 양극에는 높은 전압을, 음극에는 낮은 전압을 적용함으로써 만들어진다.제어 신호의 수를 최소화 하기 위해, LEDs 의 양극들은, 그림 4.14a에서와
    리포트 | 6페이지 | 2,000원 | 등록일 2020.10.14
  • 전전설2 실험1 결과보고
    실험1. TTL design9/1~9/8전자전기컴퓨터공학부결과보고서1. ... 실험 목적TTL을 이용한 논리 회로 구성을 이해하고 다음과 같은 내용을 포함하여 실험 및 설계 능력을 함양한다.- OR 게이트논리 회로 실험- XOR 게이트논리 회로 실험- 반가산기 ... 회로 실험- 전가산기 회로 설계2.
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • 예비보고서(2) 플립플롭
    실험제목 :플립플롭- 예비보고서1. ... 실험순서(1) 디지털 실험기판 위에 7400 NAND 게이트를 이용하여 RS 플립플롭 회로 (a)를 구성하고 데이터 스위치로 S, R 의 논리상태를 표 1과 같이 변화시키면서 오실로스코프로 ... 이것을 논리 회로로 사용할 경우에는 이 두 개의 상태를 0과 1에 대응시킨다.
    리포트 | 7페이지 | 2,000원 | 등록일 2020.10.14
  • 디지털 회로 실험 및 설계 - 기본 논리 게이트(Gate) 및 TTL, CMOS I.F 실험 2
    디지털회로실험및설계 결과 보고서 #1( 기본 논리 Gate 및 TTL, CMOS I/F 실험 )과 목담당교수제 출 일학 번이 름1. ... 회로도, 이론값, 실험결과실험 1) 전압 Level 측정실험 : 입력전압 변화에 따른 출력전압의 상태를 측정하고 기록하시오.이론값)입력전압0.0V0.5V1.0V1.5V2.0V2.5V3.0V3.5V4.0V4.5V5.0V출력전압4.4V4.4V3V0V0V0V0V0V0V0V0V논리레벨HHLLLLLLLLL실험결과 ... 실험하며 측정했던 전류의 값도, 디지털 멀티미터에 표시된 계속해서 바뀌는 전류의 값에서 소수점 한 ~두자리까지만 보고 대략적인 값을 측정한 것이다.- 둘째로, 점퍼선에서도 저항은 존재한다는
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 8장 순차논리회로 설계 및 구현(2) 결과
    8장, 순차논리회로 설계 및 구현(2) 결과보고서◈ 실험 결과 및 검토가. 4비트 동기식 상향 카운터를 설계하고 출력을 확인하여 다음의 표를 완성하라.☞ 회로의 모습이다. 7478 ... 디지털공학실험 ? ... 이번 실험은 카운터가 어떻게 동작하는지 회로를 구성하여 눈으로 확인하는 실험이었는데 리드선을 연결하는 것이 매우 헷갈려서 하나하나 꼼꼼히 확실히 확인하고 연결하느라 애를 먹었다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.01.06
  • 홍익대 디지털논리실험및설계 7주차 예비보고서 A+
    디지털 논리실험 및 설계 7주차 예비보고서1. ... 실험 준비1.1 S-R Latch와 S’-R’ Latch의 동작에 대해 설명하시오.Latch는 1비트의 문자를 보관하고 유지할 수 있는 회로이다. ... 실험 결과2.1 기본실험 (1)- 예상 결과QS’Q’R’S’R’QQ’00Invalid0110100111No ChangeS와 R이 Active-LOW 이기 때문에 S’, R이 0,1
    리포트 | 8페이지 | 1,000원 | 등록일 2023.09.18
  • 홍익대 디지털논리실험및설계 10주차 예비보고서 A+
    디지털 논리실험 및 설계 10주차 예비보고서1. ... 실험 준비1.1 비동기식 카운터와 동기식 카운터의 작동 원리와 차이점에 대하여 서술하시오.카운터란 일정한 state가 반복되어 숫자를 세는 기능을 할 수 있는 회로이다. ... 실험 결과2.1 기본실험 (1)※모든 Q0-Q3 값을 LOW(0)로 설정 후 진행. 응용 실험 (1)을 이어서 진행.< 예상 실험 결과 >CLKQ0Q1Q2Q3??1000??
    리포트 | 6페이지 | 1,000원 | 등록일 2023.09.18
  • 7세그먼트 디코더 실험보고
    실험보고서7-세그먼트 디코더1. 실험목적본 실험을 통해 BCD/7-세그먼트 디코더 드라이버에 대해 알아본다.2. ... 출력 단자 A 및 B에 LED를 연결하여 0,1,2,3의 4가지 입력에 대해 제대로 동작하는지 확인하고 출력 전압을 측정하여 실험 결과 보고서 1번에 기록하라.5.3 실험과정 5.1에서 ... 실험기자재 및 부품4.1 사용기기- 디지털 멀티미터- 전원공급기4.2 사용부품- TTL게이트- 7-세그먼트 디코더/드라이버(74LS47)5.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.26
  • 실험23_계수기 회로_결과레포트
    실험23. 계수기 회로실험일 : 2000 년 00 월 0 일제출일 : 2000 년 00 월 0 일학 과학 년분 반조학 번성 명전자전기공학부2▣ 결과보고서1. ... 실험이론⑴ 계수기 회로계수기는 JK flip-flop(이하 FF)의 toggle동작을 이용하여 입력되는 Clock의 수를 세는 디지털 회로이다.그림 23.1 2-bit 계수기계수기내의 ... 물론 논리적인 참 거짓 판별에서는 문제가 없었으며, 2진 계수기로서 올바르게 설계되었음을 보였다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 5장 인코더(Encoder) 디코더(Decoder) 멀티플렉서(Mux) 디멀티플렉서(Demux) 결과
    디지털공학실험 ? 5장, 인코더, 디코더, 멀티플렉서, 디멀티플렉서 결과보고서◈ 실험 결과 및 검토가. ... 출력ABC3C2C1C0YY3Y2Y1Y0LLLLLHHHHHHLLLLHLLHHHLLHLLHLHHHHHLHLHLLLHHLHHLLHLLHHHHHHLHLLLLHLHHHHHLLLHHHHHHHLLLHLLHHH☞ 진리표멀티플렉서는 여러 개의 입력 데이터 중에서 하나를 선택하여 출력으로 내보내는 논리회로로써 ... 이 실험에서 헤맸던 점은 앞의 실험과 같은 이유로 실험에 있는 회로 그림과 책 뒤쪽에 Data sheet의 그림과는 달라서 입력과 출력의 선택제어신호인 A와 B를 거꾸로 생각한데다
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.06
  • 논리회로실험) 7 segment 프로젝트 1 예비
    논리회로설계 실험 예비보고서 #4실험 4. 디코더 & 엔코더1. ... 예비 이론(1) 디코더- 데이터를 어떤 부호화된 형으로부터 다른 형으로 바꾸기 위한 회로와 장치를 가리킨다.- n비트의 2진 코드를 최대 2^n개의 서로 다른 정보로 바꾸어 주는 조합논리회로이고 ... 가지며, 입력 값에 따라 선택된 하나의 출력선이 나머지 출력선 들과 반대 값을 갖는다.- 안테나를 통하여 전달된 아날로그 신호를 컴퓨터 모니터에서 사용할 수 있도록 RGB로 표현되는 디지털
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 실습 6. 위상 제어 루프(PLL)
    위상 제어 루프(PLL)(조: 실험날짜: 보고서 제출날짜:)요약: 위상 제어 루프 회로를 구성하여 주파수 동기화의 원리를 이해하여 주파수를 변화시켜가며 동작주파수 대역을 확인하고, ... 아날로그 및 디지털 회로설계 실습실습 6. 위상 제어 루프(PLL)소속담당교수수업 시간조번호조원실습 6. ... 결론위상 제어 루프 회로는 입력 신호를 XOR논리 게이트를 이용한 비교기를 통해 출력신호와의 차이를 출력하고, 루프필터를 통해 직류전압에 가깝게 만들어준다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • 서강대학교 디지털논리회로실험 레포트 3주차
    디지털 논리회로 실험 결과 보고서3주차 Decoders and Encoders5조1.실험제목: Decoders and Encoders2.실험목적:-일반적인 binary decoder의 ... 우리 조가 첫 번째 실험을 할 때 결과가 다르게 나와 다시 실험하였는데, 저항이나 인덕터 캐패시터와는 다르게 디지털에서는 회로를 조금만 잘못 짜더라도 완전히 다른 결과값이 나와 버리기 ... 따라서 정확한 실험을 하였다고 볼 수 있다.5. 검토사항1)combinational 논리 회로의 최소화(minimization)가 실제 회로 구현에 어떤 영향을 주는지 검토한다.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 시립대 전전설2 A+ 2주차 예비레포트
    전자전기컴퓨터설계실험 II예비보고서Lab-02 Data Flow Modeling과목: 전자전기컴퓨터설계실험 II담당 교수: 교수님학과: 전자전기컴퓨터공학부학번:이름:제출일:목차1. ... Description Language)디지털시스템의 구조 및 동작을 기술(표현)할 수 있는 언어- 하드웨어 소자, 구조, 동작 등을 텍스트 기반으로 표현 가능- 입출력 논리 간의 ... 실험 목적Design Tool 상에서 Verilog HDL을 사용하여 Digital logic을 설계한다.Verilog의 Gate Primitive를 사용하여 간단한 로직에 대하여
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 디지털 논리회로 3주차 예비보고
    디지털 논리실험 및 설계 3주차 예비보고실험 준비기본 실험 (1)의 회로가 2-bit 복호기인 이유를 설명하시오.2-bit 복호기는 2개의 input값을 받는다. input값은 이때 ... ‘정논리회로 , 1을 꺼진 것으로 , 즉 반대로 생각하는 것을 ‘부논리회로 라 해서 서로 상보적으로 구분합니다. ... 정논리에서는 1이 켜지는 상태 즉 active high라 한다면 부논리 회로에서는 0이 켜지는 상태가 되는 것이므로 active low가 되는 것이다.2.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.12.04
  • 서울시립대학교 전전설3 9주차 결과레포트(설계 성공적, A+, 코로나로 인한 시뮬레이션 실험, 하지만 이론 주석 깔끔)
    Inverter 회로로 동작함을 간략하게 설명하시오.위의 실험은 사전 보고서에서 서술한 가 양 극단에 위치하는 경우이다.- 인 경우출력이 0이 나오는 것을 확인할 수 있었다.- 인 ... Introduction (실험에 대한 소개)가. Purpose of this Lab이번 실험에서는 가장 널리 사용되는 디지털 IC 기술인 CMOS의 반전기 회로를 알아본다.나. ... 경우출력이 가 나오는 것을 확인할 수 있었다.정리하자면, 이 회로는 출력을 반전시키는 Inverter역할을 수행하고 또한 논리적으로는 NOT gate의 역할을 수행한다는 것을 볼
    리포트 | 10페이지 | 2,000원 | 등록일 2021.03.20
  • 결과보고서(7 가산기)
    실험제목 :가산기- 결과보고서[결과 및고찰](a) 반가산기회 로 도결 과 값입 력(a) 반가산기BASC*************101회로 (a)는 반가산기(half adder)를 나타낸 ... 회로이다. ... 더해서 나오는 합(Sum)이고, AND 게이트를 통과해 나오는 출력 C는 자리올림(Carry)이다.이를 논리식으로 표현하면 다음 그림 1에 나와 있는 것과 같다.◀ 그림 1반가산기 논리
    리포트 | 5페이지 | 2,000원 | 등록일 2020.10.14
  • 아날로그 및 디지털회로설계실습/ 7. 논리함수와게이트 / 결과보고서 / 성적인증포함 / 해당학기 전체 성적인증포함
    요약 기본적인 논리게이트인 AND, OR, NOT 게이트를 활용하여 NAND, NOR, XOR 게이트를 구현하고 기능을 측정하는 실험을 했다. ... 계획서에서 설계한 NAND, NOR 그리고 XOR게이트의 등가회로를 3종류의 IC chip을 이용하여 구성하였으며 전압 측정을 통해 각각의 동작 특성을 확인하였으며 High(1)출력 ... 또 계획서에서 설계한 방법으로 OR gate의 입출력 딜레이(τpHL= 2 msec = τpLH ) 를 측정할 수 있었다.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.13
  • 디지털회로실험 시프트 레지스터 결과보고
    참고문헌디지털 논리회로 개정3판 임석구, 홍경호 지음.https://www.google.co.kr/search? ... 실험예비보고서를 통해 공부한 것에 따르면 링 카운터와 존슨 카운터의 차이는 n개의 플립플롭으로 구성된 링 카운터는 n개의 서로 다른 상태를 출력하고, 존슨 카운터는 2n개의 서로 다른 ... 이 방식을 응용하여 직렬 통신 회로의 기초가 되는 회로가 된다.실험 2에서는 회로를 그대로 하되 J와{bar{K}}의 입력을{bar{Q _{D}}}에 연결하여 존슨 카운터 회로를 만들었다
    리포트 | 7페이지 | 3,000원 | 등록일 2021.04.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:08 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대