• 통큰쿠폰이벤트-통합
  • 통합검색(737)
  • 리포트(710)
  • 시험자료(17)
  • 자기소개서(6)
  • 방송통신대(4)

"1-bit adder" 검색결과 241-260 / 737건

  • 디지털시스템실험 12주차 결과리포트
    0]Y;InputLogic in(Bdata,S1,S0,Y);adder_4bit Add(Cout,Gout,Adata,Y,Cin);endmodule/******************** ... 하나의 프로그램을 Simple Computer를 통해 동작시킨다.실험결과-코드 및 분석module SIMPLECOMPUTER(CLK, OutData, Reg0, Reg1, Reg2, ... =R1-1;SRAM[3] = 13'b1110000001000; // PC=4'b0011 jump R2SRAM[5] = 13'b1000010000000; // PC=4'b0101 ADDI
    리포트 | 10페이지 | 2,000원 | 등록일 2018.01.03
  • [아날로그및디지털회로설계실습A+] 4-bit Adder 회로 설계 결과 레포트 입니다
    OR gate(74LS32) 2개- Qaud 2 input XOR gate(74LS86) 2개- 4-bit binary adder(74LS83) 1개- LED 10개- Toggle ... 아날로그 및 디지털 설계 실습10# 4-bit Adder결과 레포트1. 목적조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다.2. ... 실습준비물- 직류전원 장치 1대- 멀티미터 또는 오실로스코프 1대- Bread board 1대- 저항(330Ω) 10개- Hex Inverter(74LS04) 4개- Quad 2 input
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.06
  • FPGA를 이용한 디지털 시스템 설계(인하대) 16bit Full Adder 보고서 (verilog코딩)
    출력값, 나머지 carry값은 1비트의 값이다.위의 방식대로 4bit fulladder 코드를 작성하면 다음과 같다. - 4bit full addermodule fulladder4 ... 것이다.@1. 1bit full adder 코딩기본적으로 쓰일 1bit fulladder를 코딩하기에 앞서 전가산기의 진리표를 작성해야 한다.가산기는 각각의 비트를 더하는 회로이며 ... 이를 그림으로 나타내면 아래와 같다.위 그림에서 알 수 있듯이 4개의 1bit fulladder는 FA3 ,FA2, FA1, FA0이고 a와 b는 4비트의 입력값이고 sum은 4비트
    리포트 | 12페이지 | 2,000원 | 등록일 2015.09.25
  • 전자전기컴퓨터설계실험2(전전설2)2주차예비
    위에서 설계한 1-bit Full Adder를 이용하여 4-bits Ripple Carry Full Adder를 설계하시오.전가산기 하나가 1-bit의 연산을 의미하므로 새로운 schematic ... Full-Adder 1개가 1 bit의 두 2진수의 합을 표현한 논리 함수이기 때문에 full-adder를 연결하여 만들면 자연스럽게 n-bit의 두 2진수의 합을 표현할 수 있다. ... Half Adder를 Module Instance Symbol로 호출하고1-bit Full Adder를 설계하시오.1.
    리포트 | 12페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 전자공학 실험 덧셈회로 adder 결과 보고서
    또는 비트를 반전시켜 얻을수 있다. 1의 보수는 대부분의 산술연산에서 원래 숫자의 음수처럼 취급된다. ... 혹은 주어진 이진수의 모든 자리의 숫자를 반전(0을 1로, 1을 0으로)시키면 1의 보수를 얻을 수 있다ex)01001011 8자리11111111 - 9자리-01001011- 8자리 ... 자리에서 올라온 자리올림을 함께 덧셈하여 두 자리의 합을 계산하고, 자리올림은 다음 자리에서 함께 계산되도록 하여야 한다 이렇게 2 자리 수를 자리올림과 함께 더하는 것을 Full adder라고
    리포트 | 4페이지 | 2,000원 | 등록일 2018.06.07
  • 디지털 시스템 실험 Simple Computer 1 - Data Path 예비보고서
    시뮬레이션 한다.- Control Word 는 13bit 로 정의된다. ... {Cin, S2, S1, S0}의 ALU Selection Bit를 정의한다.▶ MD: Mux D, Destination Register에 Micro-operation 수행 결과를 ... , S0, X, Y);// Second half adder instancehalf_adder h1(C1, S, S0, Z);// Carryor o0(C, C1, C0);endmo
    리포트 | 10페이지 | 1,000원 | 등록일 2016.04.08
  • 전자전기컴퓨터설계실험2(전전설2)2주차결과
    위에서 설계한 1-bit Full Adder를 이용하여 4-bits Ripple Carry Full Adder를 설계하시오.전가산기 하나가 1-bit의 연산을 의미하므로 새로운 schematic ... Full-Adder 1개가 1 bit의 두 2진수의 합을 표현한 논리 함수이기 때문에 full-adder를 연결하여 만들면 자연스럽게 n-bit의 두 2진수의 합을 표현할 수 있다. ... Half Adder를 Module Instance Symbol로 호출하고1-bit Full Adder를 설계하시오.1.
    리포트 | 15페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 아주대학교 논리회로실험 실험3 예비보고서
    가산기 & 감산기 -1. ... 실험목적1) Logic gate 를 이용해서 가산기(adder) 와 감산기 (substractor)를 구성한다.2) 디지털 시스템의 기본 요소인 가산기와 감산기의 기본 구조및 동작원리를 ... *************10▶전가산기 2개의 비트 A, B와 밑자리로부터의 자리올림C _{i}를 더해 합 S와 윗자리로의 자리올림C _{0}를 출 력하는 조합회로이다.
    리포트 | 5페이지 | 1,500원 | 등록일 2019.02.20
  • 디지털공학실험 07. 직렬덧셈기 예비
    X-Accunulator에서 x의 최상위 비트(x3)은 FullAdder의 sum값으로 입력되어 순환한다.반면 Y-Addend Reguster에서 y의 최상위 비트(y3)은 전 상태의 ... 다시 입력된다.S값은 입력값들이 더해지고 carry값을 뺀 나머지 출력값들이다.세부적으로는두 개의 시프트 레지스터는 X와 Y에 4 Bit 의 데이터를 저장하는데 사용된다.각 시프트 ... 레지스터의 왼쪽 비트(하얀색 상자)에는 Sh(시프트), SI(직렬 입력)및 클럭이 있고SH=1이고,클럭 펄스가 들어오면, Si는 레지스터의 값을 오른쪽으로 한칸 시프트한다.그리고
    리포트 | 2페이지 | 1,000원 | 등록일 2017.06.29 | 수정일 2017.07.01
  • 디지털 시스템 실험 Simple Computer 1 - Data Path 결과보고서
    이 때 S1과 S0를 Input을 선별하는 신호로 사용하고, Cin은 4bit adder의 Carry-in으로 사용한다. ... adder, 2to1 MUX, 4to1 MUX등의 유닛을 이용하여 구현한다. ... 한편, Arithmetic Circuit은 Input Logic과 4bit adder를 이용하여 산술, 논리 연산을 한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2016.04.08
  • 텔레칩스 합격자소서
    어셈블리어를 공부하여 각 단계의 비트 흐름을 통해 연산 과정을 확인했습니다. ... 자 기 소 개 서1. 성장과정[거제의 아들]서울로 대학교를 오기 전까지 거제에 살았습니다. 거제는 조선 산업이 지배하고 있었고, 아버지 역시 20년 이상 조선소에서 일했습니다. ... 전공 프로젝트 경험[MIPS 프로세서 구현]3학년 때, multi-cycle MIPS design using Verilog 프로젝트를 진행했습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2019.04.08
  • 디지털실험 - 실험 3. 2비트 전가산기 예비
    *예비보고서*실험주제실험 3. 2비트 전가산기조13조1. ... 회로를 구성하여 진리표를 작성하라.5) 2 bit 병렬 2진가산기를 구성하여 실험하고 진리표를 작성하라.4. ... - 고찰실험 1.
    리포트 | 9페이지 | 1,500원 | 등록일 2017.04.02
  • 자판기설계원리 모듈별 코드분석.
    ahead adderCarry look ahead adder(source)[11:0 ] to_multi_go [11:0] go_hex [3] [11:0] to_mux2[0] - 12bit ... 입력 값을 보수화 하여 12bit 의 데이터 출력 . 2 의 보수화 과정은 adder 수행의 뺄셈 연산을 위하여 필요 . ... --160083 sid=Shs90lgjG0oAAE@OGZw Booth multiplier (test)[11:0]to_mux2m[0] [11:0]to_mux2m[1] - 12bit
    리포트 | 37페이지 | 1,500원 | 등록일 2015.11.26
  • 전자전기컴퓨터설계실험2(전전설2)3주차예비
    =1 sum=1 cout=12. . 1-bit Full Adder(Behavioral Modeling)코딩(text)// full_adder_bmodule full_adder_b(a ... 실험결과 (Results)1. 1-bit Full Adder(Gate Primitive Modeling)코딩(text)// full_adder_gmodule full_adder_g( ... =1 sum=0 cout=1a=1 b=1 cin=1 sum=1 cout=13. 4-bits Full Adder( Gate Primitive(2) + Behavioral(2) )코딩(
    리포트 | 18페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • Lab#01 TTL Gates Lab on Breadboard
    나머지도 이와 같이 두 값의 합을 출력하게 된다.Full Adder는 Half Adder에서 아래 자릿수에서 발생한 캐리까지 포함하여 세 비트를 더하는 논리회로를 말한다. ... 따라서 그전의 올림수인 Carry in을 포함한 세 비트를 더하게 된다. ... 따라서 결과에도 A,B가 (0,1), (1,0), (1,1)일경우에는 LED에 불이 들어왔고, (0,0)일 경우에는 점등되지 않았다.XOR gate는 Exclusive-OR로, 베타적
    리포트 | 17페이지 | 1,500원 | 등록일 2016.09.11
  • [디지털논리회로1] Ripple carry adder
    본 문제를 해결하기 위해 아래의 회로도 구성(2-input XOR gate 2개, 2-input NAND gate 3개 사용)처럼 1-bit full adder를 설계하였다.# Explanation ... 아래의 그림은 하나의 full adder에서 다음으로 캐리가 ripple되는 것을 위의 회로도 방법으로 설계된 2-bit ripple carry adder이다.구현한 32-bit ripple ... Adder의 지연 즉 tripple은 비트의 숫자에 따라 증가한다.
    리포트 | 3페이지 | 2,000원 | 등록일 2015.03.16
  • [컴퓨터공학기초설계및실험2 보고서] 32-bit carry look-ahead adder (CLA) design
    컴퓨터 공학 기초 설계 및 실험2 보고서실험제목: 32-bit carry look-ahead adder (CLA) design제목 및 목적제목32-bit carry look-ahead ... -1=Gi+PiCi-14-bit라면C1=G[0] + (P[0] * Ci)C2=G[1] + (P[1] * G[0]) + (P[1] * P[0] * Ci)C3=G[2] + (P[2] * ... adder와 CLB로 구성되어있다.* 32-bits CLA with Register/32-bits RCA with Registerflip-flop과 32bit CLA로 구성되어있다.마찬가지로
    리포트 | 15페이지 | 2,000원 | 등록일 2015.04.12
  • 서울시립대 전자전기컴퓨터설계실험2 제06주 Lab05 Pre
    수정해준다.Modified Test Bench Code4-bit Full Adder Simulation ResultBehavioral Simulation Result를 확인하면, ... [실험 3] 2-bit 2 * 1 Mux 설계Add SourceSource Code모듈을 지정해주고, 2개의 2-bit Input A, B와, 1-bit Input S을 선언해준다. ... CodeInput A, B, C, D 각각 1-bit Variable로 선언하기보다, 4-bit 변수로 선언하는 것이 코드 간소화에 도움이 된다.Input S가 00일 경우 Output
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • [VerilogHDL] 4bit 2진 덧셈기 설계(7segment 제어)
    ■ 개념설계▪ HBE-COMBO 트레이닝 키트 상에서의 동작 개요 -첫 번째 비트 데이터는 7-segment의 A그룹에 두 번째 비트 데이터는 B그룹에 표시되고, A와 B그룹의 ... bcd)설계▪ adder4_bcd 블록의 논리회로 표현module adder4_bcd(dataa, datab, bcd1a, bcd1b, bcd1s,bcd10a, bcd10b, bcd10s ... ■ 설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 F까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이
    리포트 | 1페이지 | 1,000원 | 등록일 2015.08.02
  • 디지털 회로 설계 32 bit carry lookahead adder vhdl quartus 설계 code 포함
    A one-bit full adder는 A, B ,Cin, 3개의 one-bit number들을 더한다. ... Digital Logic Design Project #1Title: Design and Synthesis of 32-bit CLA(Carry-Lookahead Adder)1. ... Title : Quartus2를 통한 32-bit CLA(Carry-Lookahead Adder)의 설계와 구현.2.
    리포트 | 10페이지 | 2,000원 | 등록일 2014.12.15 | 수정일 2021.07.26
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:25 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대