• 통큰쿠폰이벤트-통합
  • 통합검색(737)
  • 리포트(710)
  • 시험자료(17)
  • 자기소개서(6)
  • 방송통신대(4)

"1-bit adder" 검색결과 141-160 / 737건

  • 충북대학교 전자공학부 4비트 산술논리회로와 시뮬레이션 예비보고서
    먼저 S1과 S0의 값에 따라 MUX에 의해 출력 Y(B,bar{B}, 0, 1)의 값이 결정되고, ADDER에 의해 출력 D(Cin+A+Y)가 결정된다.선택 단자입력출력동작S1S0CinYD ... = A +bar{B} + 1Subtract with borrow1000D = ATransfer A1010D = A + 1Increament A1101D = A - 1Drecrement ... A1111D = ATransfer A 1비트 산술 연산회로의 기능논리 연산은 선택단자 S1과 S0의 값에 의해 AND, OR, XOR, 보수 기능을 수행한다.S1S0출력동작00E
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 9. 4-bit Adder 회로 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    아날로그 및 디지털 회로 설계 실습-실습 9 예비보고서-4-bit Adder 회로 설계소속중앙대학교 전자전기공학부담당 교수님*** 교수님제출일2021.11.11(목)분반, 조**분반 ... 이때 최종 출력은 S1, S0, Cout 이 된다.2-Bit 가산기는 다음과 같이 설계된다.2-Bit 가산기의 진리표는 다음과 같다. ... 따라서 2-Bit 가산기도 XOR gate 를 사용하여 설계할 것이다.2-Bit 가산기는 두 개의 Bit 를 가지는 두 이진수를 더하는 장치이고 다음과 같이 동작한다.따라서 A0 과
    리포트 | 10페이지 | 1,000원 | 등록일 2022.10.30 | 수정일 2023.01.03
  • 전공영어 레포트
    Flip-Flop 플립플롭A flip-flop is a binary cell capable of storing one bits of information.플립플롭은 1비트의 정보를 ... , BCD code, binary cell, Boolean algebra, NAND, OR, exclusive-NOR, help-subtractor, half-adder, full-adder1 ... □□연습문제□□1.
    리포트 | 36페이지 | 3,000원 | 등록일 2021.05.16
  • 컴퓨터구조 CPU설계_Quartus 설계_2024
    참조 명령어T3 타이밍에서 수행되 I=1인 명령어로 IR(0~11)의 각 비트위치를 B(i)로 표시하며 6가지 명령어를 표시한다. ... PC에서 AR로 저장하는 과정이 필요하니 1 CLK 사용한다.T1 - AR의 주소로 메모리를 호출하면 메모리의 내용이 IR로 간다. ... 그 위 3 bit가 3to8 Decoder에서 D 신호가 되어 나온다. ( D0~D7 )가장 상위 bit는 주소 모드를 나타내는 I bit가 나온다.명령어를 수행할 타이밍은 4-bit
    리포트 | 17페이지 | 3,000원 | 등록일 2024.06.01
  • 기초 Risc v 설계 코드와 검증 코드( RiscV 기계어 코드 파일 만는는 타스크 함수 포함)
    I_Type2: //I-typebeginimme_o[11:0]=instr[31:20];endU_Type: //U-type (Upper Immedule`timescale 1ns / 1psmodule ... 설계 코드`timescale 1ns / 1psmodule top(input clk);wire bra;wire [31:0] adder1_o,adder2_o;wire [31:0] pc_o ... 동작(ADD,SUB,SW,LW,BEQ,SET)을 확인하기 위한 RTL 논리 회로 설계의 소스 코드와 이의 인스트럭션 동작확인을 위한 테스트벤치입니다.설계를 의하여 Risc V 32bit
    리포트 | 49페이지 | 10,000원 | 등록일 2021.11.05
  • ALU 8bit 설계 베릴로그
    덧셈, 뺄셈, INC연산을 할 땐 오버플로우를 검출 해야하므로 저번 실험에 사용했던 8bit adder/subtractor 실험에 사용했던 코드를 다시 사용하도록함. ... 이제 full adder모듈을 순서에 의한 할당을 할 것이므로module fa ( A ,B ,Cin ,Cout ,S ) 변수들의 순서를 잘 기억해둠.adder - subtractor ... 1이고 뺄셈연산이며 +77-(-90)=+167 8bit로 표현할 수 있는 범위를 벗어나기 때문에 overflow가 1 출력.40~50ns : mode는 1이고 뺄셈연산이며 -49-
    리포트 | 36페이지 | 2,500원 | 등록일 2021.04.09
  • 디집적, 디지털집적회로설계 실습과제 9주차 인하대
    결과적으로 - 이 0에 가장 가까울 때 최적화된 P/N ratio는 2.5533이라는 것을 알 수 있다.1-bit Full Adder의 Delay, Power그림 15는 1-bit ... Input signal은 inA의 변화 (1->0, 0->1)와 output의 변화에 따른 delay를 측정하는 것이 목표이기 때문에 inB의 signal 변화와 겹치지 않도록 주기 ... 그림17의 코드는 다른 기본 gate subcircuit은 캡처하지 않고 half adder부터 캡처했다.
    리포트 | 9페이지 | 1,500원 | 등록일 2021.08.31
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 전가산기(4-bit adder) 예비
    아날로그 및 디지털회로 설계 실습11주차 예비: 2-bit Adder 회로 설계전자전기공학부20160000 하대동고릴라1. ... (E) 설계한 회로중 하나를 선택하여 2Bit 가산기 회로를 설계한다.앞의 전가산기의 carry out은 뒤 전가산기의 carry in이 된다. ... AND-OR(NAND-NAND) 또는 OR-AND(NOR-NOR) 로직 회로를 설계한다.위처럼 2 level and-or로 구성할 수도 있고, and, or 게이트들을 모두 nand게이트로
    리포트 | 3페이지 | 1,500원 | 등록일 2020.12.23
  • 실습 9. 4-bit Adder 회로 설계 예비보고서
    실습 9. 4-bit Adder 회로 설계9-1. 실습목적조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다.9-2. ... Bread board) : 1개파워서플라이 (Power supply) : 1대함수발생기 (Function generator) : 1대점퍼선 : 다수9-3. ... 설계실습 계획서9-3-1 전가산기 설계이론조합 회로(또는 조합 논리 회로)는 입력과 출력이 있는 논리 게이트의 집합으로 구성되는데, 어떤 시점에서도 오직 현재의 입력값에 따라 그 출력값이
    리포트 | 5페이지 | 2,000원 | 등록일 2022.09.19
  • 9주차 예비보고서 - 디지털 시스템 설계 및 실험
    - Adder, Logic Unit, 그리고 2-to-1 MUX로 구성된다.- 연산 수행 제어를 위해서 {Cin, S2, S1, S0}의 제어 정보가 입력된다.A-1 Arithmetic ... S2, S1, S0}의 4bit 입력에 따라 4bit의 연산 결과를 출력하는 회로를 구현한다.3. ... Circuit- Logic Circuit은 입력 A,B에 대해 {S1,S0}의 Selection Bit에 따라 AND, OR, XOR, NOT의 논리 연산을 수행하는 회로이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    (a),(b)up_rolling 모듈부터 살펴보자. up-scrolling 기능은 adder를 통해 구현할 수 있었다. ... (0)D(1) = result(1)*result(0) + result(1)*result(0)D(0) = result(1) + result(2)*result(0)3-bit 신호를 8- ... 그림(c)는 3-bit counter를 보여준다.
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • FPGA 실습 보고서 (Digital Systems Design Using Verilog)
    bit 전가산기의 결선도 기호전가산기(全加算器, full adder)는 이진수의 한 자릿수를 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다. ... 받아서 덧셈을 하여 carry out 과 sum을 내보내는 것MUX(multiflexer) : 입력 a,b와 sel값을 받아 sel값에 따라 a,b중 하나의 값을 출력한다.전가산기1- ... 실습내용1) fulladdermodule fulladder(output sum,output c_out,input a,input b,input c_in); /* fulladder
    리포트 | 15페이지 | 2,000원 | 등록일 2020.03.12 | 수정일 2020.03.14
  • 2019. 2 CMOS소자공학 LAYOUT설계
    Half Adder의 구성Xor gate와 And gate 하나씩 사용되며 동시에 입력하고, 출력은 Carry가 발생할 때(둘 다 2인 경우, and gate 사용) 다음 비트인 ‘ ... 설계과정- Half Adder가 어떤 회로로 구성되어있는지 먼저 파악한다.- 그 후, Half Adder에 있는 gate를 분석한다. ... 1. 서론가. Half Adder란?Half Adder는 ‘반 가산기’ 이며, 디지털 회로의 핵심이라고 할 수 있는 ‘Adder’의 일부분이다.나.
    리포트 | 7페이지 | 8,000원 | 등록일 2021.01.26
  • 중앙대학교] 4-bit Adder 회로 설계 예비보고서
    4-bit Adder 회로 설계9-1. 목적조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다.9-2. ... 74HC044개NAND gate 74HC005개NOR gate 74HC025개AND gate 74HC085개OR gate 74HC325개XOR gate 74HC862개LED10개switch10개9-3
    리포트 | 3페이지 | 1,000원 | 등록일 2021.01.05
  • 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    0101).- 비트 단위 연산자- gate primitive modeling- behavioral modeling[응용 과제]다음의 1-bit full adder 회로를 gate ... 실험 내용[실습 1] Two-input AND 게이트의 설계를 bit operators (비트 연산자)를 이용하여 디자인하고, 테스트 벤치를 이용한 시뮬레이션으로 확인 후 장비를 이용하여 ... 아래는 1bit 반가산기 모델링의 예이다. Positive edge triggered D-flipflop을 만들어보자.
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • 베릴로그 전가산기 설계
    디지털시스템설계 실습 #1 보고서1. full adder를 다음의 방법으로 설계하고 검증하라. ... 모든 결과값을 확인해보면 위의 진리표와 일치함을 확인할 수 있다.a) gate-level로 설계하라. ... 전가산기는 입력 변수가 a, b 그리고 아랫자리의 자리올림수를 ci 라고 할 때, 두 비트의 출력 s 와 자리올림수 co 를 출력한다.
    리포트 | 5페이지 | 2,500원 | 등록일 2021.06.08
  • 2023상반기 LG전자 합격 자소서
    2023 상반기 합격 자소서LG전자H&A사업본부-전기/전자LG전자 H&A사업본부-전기/전자1. ... Full adder, Register, ALU 등의 단위블록을 조합하는 과정에서 데이터 흐름에 대한 이해를 높였습니다. ... 이는 회로 검증 시 나타나는 각종 이슈를 찾아 해결하는 엔지니어 업무에 꼭 필요하다고 생각합니다.3) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • 인하대 VLSI 설계 Microprocessor 프로젝트 결과보고서
    Ripple carry adder를 만들어 준다. ... Add 연산 시 Overflow값은 버리고 carry를 고려한 4bit data가 연산 결과로 나오게 된다.• 5.75~6.25u : 1100(=SRC1)+0000(=SRC2) = ... Slave SR Latch는 clk가 상승할 때 Master L.■ ALU Layout, Netlist, Simulation 결과 및 분석Full adder layout 4개를 이어
    리포트 | 52페이지 | 3,000원 | 등록일 2023.03.15 | 수정일 2023.05.10
  • 서울시립대학교 전전설2 전자전기컴퓨터설계실험2 (결과레포트와 예비레포트 동시에) 1주차 Lab01 TTL gates Lab on Breadboard
    LED의 동작 전압과 전류가 2V와 10mA 라면 5V에 연결할 때 필요한 저항 값은 (5-2)/10mA = 300 옴 이상의 저항이 필요함을 알 수 있다.(4) 1-bit 반가산기 ... 표현한 회로.(1) XOR게이트 진리표ABX = A ⊕ B0000111011103) 반가산기 논리 회로- 반가산기: 두 개의 입력 비트(A, B)를 더하여 합(S)과 자리 올림 수 ... 배경이론1) OR 게이트 논리 회로- 입력 중 어느 하나라도 1이 되면 결과가 1이 되는 연산.- 출력은 논리 입력의 합과 같음.(1) OR게이트 진리표ABX = A + B*****
    리포트 | 23페이지 | 3,000원 | 등록일 2020.07.27
  • 2020년 2학기 방송통신대학교 방통대 컴퓨터과학개론 기말과제
    최상위 비트 사용 시 양수라면 0, 음수라면 1을 저장하며, 4비트를 기준으로 와 같이 4비트 내에서 표현할 수 있는 가장 큰 수는 +7이며 가장 작은 수는 으로 -7이다.부호화-크기 ... , 음의 정수의 경우, 먼저 대상이 되는 수의 1의 보수를 구한 후, 그에 1을 더한 2의 보수를 구해서 음의 정수를 표현한다. 1의 보수는 대상이 되는 수의 모든 비트를 반전(0 ... 이 때, 부호를 나타내는 최상위 비트는 MSB(Most Significant Bit)라고 부른다.
    방송통신대 | 6페이지 | 5,000원 | 등록일 2021.04.24
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:49 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대