• 통큰쿠폰이벤트-통합
  • 통합검색(737)
  • 리포트(710)
  • 시험자료(17)
  • 자기소개서(6)
  • 방송통신대(4)

"1-bit adder" 검색결과 341-360 / 737건

  • 아주대학교 논리회로실험 실험3 예비보고서
    즉 1+1인 경우에는 합이0이고 Carry가 1이 된다.)Full Adder (전가산기)논리게이트를 이용하여 2개의 비트 와 자리올림C _{i`n`} 을 더해 SumC _{out}을 ... _{i`n`} ,B _{out} 이용하는 방법과 5 - 4 이면 5 + (-4)로 바꿔서 덧셈과 같은 방식으로계산할 수 있다.Half ADDER (반가산기)논리게이트를 이용하여 2개의 ... 1) 실험목적1. Logic gate를 이용해서 가산기(adder)와 감산기 (substractor)를 구성한다.2.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.02.28 | 수정일 2014.03.02
  • [VerilogHDL] CLA(Carry Look ahead Adder)를 이용한 16bit 고속 가산기 설계
    Bi) · Ci = Gi + Pi · Ci- 각 비트의 Carry outC1 = G0 +P0 · C0C2 = G1 +GO · P1+P0 · P1 · C0C3 = G2 +G1 · P2 ... Adder operation 13~16bit sum and carry- Wire Configuration이름비고sumCLA_1~4의 연산 결과를 sum에 연결carry_outCLA4의 ... CLA4 Configuration- I/O Configuration구분이름비트수비고InputA4bitInput data XB4bitInput data YC01bitCarry inOutputS4bitSumC1bitCarry
    리포트 | 6페이지 | 2,500원 | 등록일 2013.05.25
  • 서강대학교 디지털논리회로실험 8주차결과
    Multiplier의 마지막 비트에 가상의 0을 붙여 준 후, 1bit 씩 Overlap하여 3bit를 선택한다. ... 그러나 Booth Algorithm을 사용할 경우, 1bit씩 Overlap 되게 하여 3bit씩 끊어서 나누어 계산하게 된다. ... 다음과 같은 식으로 합성할 수 있다.InputsPartial Productx _{2i+1}x _{2i}x _{2i-1}PP _{i}0000001Y010Y0112Y100-2Y101-Y110
    리포트 | 5페이지 | 2,000원 | 등록일 2014.01.02
  • verilog - modified CLA와 CLA를 이용한 fast adder 구현
    Ci : i-1 번째 A Bit (Ai-1) 와 B Bit (Bi-1) 합의 올림수(Carry)(C0는 대체적으로 0으로 생각)? ... 16비트 고속 가산기 코드 (모듈명 : fast16bit_adder_2)(위에서 구현한 16비트 고속 가산기(모듈명 : fast16bit_adder) 코드가 쓸데없이 길다고 생각되어 ... 5개 (하위모듈) 를 이용하여 구현한 16비트 고속 가산기 코드 (모듈명 : fast16bit_adder)※ Primitive Gate (AND, OR, XOR 등)를 배열로 구현한
    리포트 | 6페이지 | 1,500원 | 등록일 2013.06.23
  • #5 디지털실험 예비
    Full Adder 4개를 이용하여 4-bit 2진수 코드 2개의 합을 구하는 회로를 구현하라.input SW의 bit8은 Carry in으로써 첫번째 Full Adder에 들어갈 ... 7-Segment에 4-bit 2진수코드를 BCD 로 나타낸다. (0~15)2진법의 스위치를 1부터 15까지 이동하였을 때 모든 7-Segment값이 순차적으로 잘 나왔다. ... (금)Part1. 4-bit 2진수 코드로 10진수를 나타내는 7-Segment표시Decoder는 저번주 예비레포트를 쓰면서 익혀서 어려운점은 없었으나, don’t care term을
    리포트 | 7페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2014.04.22
  • 예비 가산기 & 감산기
    실험목적- Logic gate 를 이용해서 가산기(adder) 와 감산기 (subtracter)를 구성한다.- 디지털 시스템의 기본 요소인 가산기와 감산기의 기본 구조 및 동작원리를 ... 고려하여 두 bit 사이의 뺄셈을 수행하는 조합논리회로이다. ... , 가수(A), 피가수(B), 올림수(C _{i})를 표시하는 세 가지 입력(input)을 합(S)과 올림수(C _{o}) 두 가지 출력으로서 출력하는 전가산기는 반가산기(half-adder
    리포트 | 5페이지 | 1,500원 | 등록일 2013.12.26
  • 디지털시스템 실험(SR Latch, JK, D FF, Register, Shift Register, Register를 이용한 가산기)
    두 번째 실험에서는 Decimal to BCD converter, Register 그리고 4Bit Adder/Subtracter를 결합하여 숫자 하나를 입력하면 첫 번째 Register에 ... adder/subtracter에 들어가 연산이 되는 과정을 코딩 하는 것 이었다. ... 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2014 전기전자공학부이름 : 전기전자전파공학부 고찬규(7조)학번 : 2011171059실험제목Latch & Flip-Flop실험목표1
    리포트 | 5페이지 | 1,000원 | 등록일 2014.11.03
  • 논리회로설계실험 프로젝트 8Bit 계산기
    설계 내용과 방법(1) 설계 내용1) 소스 코드BCD_ ADDER7 segmentSchematic2) 테스트벤치(2) 설계 방법1) BCD adderBCD가산기는 기본적으로 4bit를 ... 관련 기술 및 이론(1) BCDBCD(Binary-coded decimal) 코드는 우리말로 ‘이진화 십진법’ 이라 한다. ... 입력하는 숫자는 99를 넘지 않기 때문에 입력변수는 8비트의 2진수 2개를 가지고 출력변수는 한자리수 당 4비트, 백의자리까지 출력값이 나오므로 총 12비트 변수 1개를 가진다.
    리포트 | 11페이지 | 2,000원 | 등록일 2015.04.17
  • Digital Design(Setup and Hold time)
    < ALU.v > - 1bit ALU Design? < ALU_T > - Top Module ALU Design(16Bits)? ... 이때 S1 = 1, S2 = 1 동작은 출력으로 X(alu_o1)와 Y(alu_o2)에 A(전달)와 0이 나오게 된다.따라서 Adder의 입력으로 들어가는 출력 alu_o1 = 6이 ... 구현하려면, 산술연산과 논리연산을 두 피연산자 A, B에 다음과 같이 적용시키면 된다.뺄셈A-B1증가A+11감소A-1덧셈A+B보수A’ANDA AND BORA OR B전달A▶ Logic산술연산과
    리포트 | 7페이지 | 2,000원 | 등록일 2013.06.09
  • 02 논리회로설계실험 예비보고서
    실험 내용- 실험 1. 반가산기(1) 진리표를 작성하고 논리식으로 정리하시오.1) 진리표 , 논리식입 력출 력AB합(S)자리올림(C)*************101표1. ... 구해주는 덧셈 회로로서, 컴퓨터 내부에서 가장 기본적인 계산을 수행하는 회로이다.1bit의 2진수 2개를 연산할 때, 입력 변수의 내용은 1과 0만 존재 할 수 있으므로, 2변수에서 ... 예비 이론(1) 반가산기Half adder, 반가산기는 사칙 연산을 수행하는 기본 회로이며, 2진수 한 자리를 나타내는 2개의 수를 입력하여 합(SUM)과 자리올림 수(Carry)를
    리포트 | 6페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • [전자, 시스템칩설계]verilog를 이용한 4bit Full adder
    #1Report-4bit Full adder & Odd Parity 생성기-과목: 마이크로프로세서담당: 나종화 교수님학과: 전자 4학년학번: 2002122056이름: 김소연제출: 2006 ... . 4. 7.4bit Full adder1. ... 실험 목적반가산기와 전가산기의 원리를 이해하고, 반가산기를 이용한 4-bit (binary) Full adder를 설계해본다.2.
    리포트 | 6페이지 | 1,000원 | 등록일 2006.06.26
  • 디지털시스템 verilog 실험 결과보고서의 모든 것,BCDto7Segment, FlipFlop, Counter, RAM, 유한상태머신회로, Dotmatrix, Stopwatch etc
    4bit binary를 8bit BCD code 로 변환하는 컨버터를 4-to-16 라인 디코더를 이용해 설계한다.2. ... Full Adder2. 4bit Adder3. 4bit Subtractor[결과 및 토의]full_adder, 4bit_adder, 4bit_subtractor 순으로 verilog ... B[2]);not n3(not_B[3],B[3]);adder_4bit(,S,A,not_B,1'b1); // 빈칸 : 적용하지 않을때 사용.// ‘1'b1’ : 숫자1을 넣을때 사용(
    리포트 | 32페이지 | 3,000원 | 등록일 2012.11.27
  • 전전컴실험Ⅱ 06반 제03주 Lab#02 [『HBE-ComboⅡ-SE』, 『Xilinx ISE』] 결과 보고서
    Results of 4 BIT FULL ADDER ( 010-7340-2946 )(1) Measured(obtained) Data7 + 3 = 10, (0111)+(0011)=(1010 ... -FULL ADDER와 4 BIT FULL ADDER도 HALF ADDER를 소자화 시켜서 구성했기 때문에 HALF ADDER 만 잘 만들었으면, 큰 어려움 없이 끝나는 실험 이였다 ... -전화번호 실험과 알파벳 더하는 실험도 4BIT FULL ADDER를 잘 만들었기 때문에예상했던 결과와 정확히 일치하게 나왔다.다.
    리포트 | 17페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 논리회로실험) 가산기 감산기 결과보고서
    비트의 x , y 가 입력이 되었을 때 n+1 비트의 s 가 출력이 된다.① 8 bit 가산기를 이용한 감산기 회로 구현- Input : a[7..0] , b[7..0] 의 8비트 ... counter )에 이어 New Project를 할 때 필요한 adder_4bit의 .v 파일을 직접 끌어와서 추가시켜야 한다.1 . ... 회로- 두 번째 실험은 4bit ADD 전가산기 회로를 구현하기 위해 Quartus II를 구동하고 adder.v 파일을 이끌어와 회로를 구현하고, DE2-115 보드에 연결하여
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.06
  • 전전컴설계실험2-6주차예비
    1-bit 감산기와 4-bit 감산기를 구현하는 것이다. 1-bit 감산기에서 감산연산은 피감수비트의 반전비트와 감수비트의 가산연산으로서 작용이 포함되어 있기 때문에 감산논리회로는 ... 그 결과 4-bit 감산기도 1-bit 감산기의 Ripple Carry방식의 연결로서 볼 수 있다. ... 그리고 Comparator의 개념과 구현방법을 이해하여 1-bit Comparator와 4-bit Comparator를 설계해본다.(2)Essential Backgrounds for
    리포트 | 13페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 전자전기컴퓨터설계실험2(전전설2)4주차예비
    조합회로의 예로는 And gate, Adder, Mux 등이 있다.다. 감산기4bit 가산기와 마찬가지로 하위 모듈들로 구성된다. ... 0 B=1 EQ=0 AGB=0 ALB=1A=1 B=0 EQ=0 AGB=1 ALB=0A=1 B=1 EQ=1 AGB=0 ALB=04. 1-bit Comparator를 이용해 4-bits ... )-13(1101) = =10(10110)3. 1-bits Comparator를 설계하시오.코딩(text)// comparatormodule comparator (A, B, EQ,
    리포트 | 16페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • [아주대] 논리회로실험 3장 예비(가산기 & 감산기)
    -반감산기반감산기에서 1비트 A와 B의 뺄셈을 하기 위해서 출력에 두 개의 차의 값과 받아 내림 값을 표시하는 두 bit가 필요하다. ... -반가산기2개의 1비트 A, B를 더하는데 합이 0~2이므로 그걸 표현하기 위해서 2개의 비트가 필요하다. ... -전가산기1 비트 이상을 갖는 오퍼랜드를 더하기 위해서, 비트 사이 자리에 캐리가 제공되어야 하는데, 전가산기로 그것을 할 수 있다.
    리포트 | 9페이지 | 2,000원 | 등록일 2013.09.15 | 수정일 2013.09.25
  • 서강대학교 디지털회로설계 설계1 16bit CLA
    이때 덧셈기는 16-비트의 입력과 출력을 가지도록 한다. ※ 제한요인 ① 효율적인 프로그래밍으로 연산속도를 최소화한다. ... (실현 가능성) ※ 주의사항 ① VHDL언어를 사용하여 설계한다. ② 각 논리 게이트는 특정 지연 시간을 갖도록 설계한다. ※ 테스트 방법① 16-비트 입력에 대한 test ... 목표 및 기준 설정1) 설계 목표빠른 carry 처리를 통해 고속 연산을 가능하게 하는 Carry Lookahead Adder를 설계한다.
    리포트 | 13페이지 | 2,500원 | 등록일 2013.04.12 | 수정일 2014.01.03
  • 논리예비3 가산기와 감산기 (Adder & Substractor)
    이 결과를 차 비트(difference bit)라 부른다. 만일 x < y인 경우, 즉 0 - 1일 때에는 바로 앞 자릿수로부터 1을 빌어야만 한다. ... 빼임수, 뺌수, 자리 올림 수를 나타 내는 3개의 입력을 받아들이고, 차와 내림수를 나타내는 2개의 출력을 내보낸다.(3) 반가산기(Half adder)2개의 1-비트 오퍼랜드 A와 ... 가산기와 감산기 (Adder & Substractor)1.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.23 | 수정일 2013.11.07
  • 실험3 결과보고서 실험 3. Adder & SubtractorLogic gates
    이 경우 -2가 출력 되어야 하지만 이 값은 2개의 출력으로 표현할 수 없어 B-D가 1-0으로 출력 되는 것을 볼 수 있다.실험 52-bit serial adder와 2-bit ... 2-bit parallel adder와 2-bit-serial adder 그리고 카노맵에 대한 지식이 조금 부족 했던 점인것 같다. ... parallel adder를 구성한 뒤 각각의 입력에 대한 출력을 측정하고 결과 값을 확인하라.① 2-bit가 아닌 4-bit소자의 serial adder《회 로 구 성 도》② 2-
    리포트 | 7페이지 | 1,000원 | 등록일 2013.01.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:25 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대