• 통큰쿠폰이벤트-통합
  • 통합검색(737)
  • 리포트(710)
  • 시험자료(17)
  • 자기소개서(6)
  • 방송통신대(4)

"1-bit adder" 검색결과 361-380 / 737건

  • 전전컴실험Ⅱ 06반 제04주 Lab#03 [Verilog HDL] 결과보고서
    (가) behavioral modeling으로 작성된 4bit full-adder(나) “Lab 3”을 위한 실험 순서 및 구현 방법1. ... (가) BEHAVIORAL MODELING실험(나) “Lab 1”을 위한 실험 순서 및 구현 방법1. behavioral modeling을 사용하여 1BIT FULL ADDER 회로를 ... Project Navigator program을 사용하여 4bit full adder를 만든 후 저장한다.2.
    리포트 | 15페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 컴퓨터 구조와 운영체제
    / 256-bit / PCI-Express 2.0 x16 / 유휴 (CPU), 주기억장치(RAM 등), 그리고 보조기억장치(하드디스크 등)가 담당한다. ... *트랜지스터: 비트의 정보를 보관, 유지할 수 있는 회로이며 순차 회로의 기본 부품이다.(4) 메인보드인텔(소켓1155) / (인텔) H67 (B3) / 일반-ATX / DDR3 / ... ㎳ / 250cd / 1,000:1 / 5,000,000:1 / 72% / LED 방식 / 틸트 / 무결점 정책 / D-SUB / DVI / 21W / 0.2W제조회사ASUS(제조사
    리포트 | 18페이지 | 1,000원 | 등록일 2016.03.06
  • Quartus 실습 - 7segment, Mux, Adder, Decoder
    >> Source1. 6bit Full-Adder >> compile1. 6bit Full-Adder >> Simulation2. 6bit-Shift Register >> Source2 ... Report< Quarus 실습설계 >1. 6-bit Full_Adder (ripple carry adder)2. 6-bit Shift Register3. 7-Segment Decoder4 ... . 2 x 1 Multiplexer5. 4-bit 카운터과 목 : 디지털시스템설계교 수 : 정진균 교수님학 번 : 200711061이 름 :김성현실습과제1. 6bit Full-Adder
    리포트 | 9페이지 | 1,500원 | 등록일 2012.03.28
  • 전가산기 반가산기 어셈블리어
    1. Full Adder ( 전가산기 )전가산기는 (full adder) 2진 숫자(비트)를 덧셈하기 위한 논리 회로의 하나. 온 덧셈기라고도 한다. ... 반가산기 (half adder)2진 숫자(비트)를 덧셈하기 위해 사용되는 논리 회로의 일종으로 반 덧셈기는 2개의 디지털 입력(비트)를 받고, 2개의 디지털 출력(비트)를 생성한다. ... SHL instruction사용 형식 : SHL mem/reg, imm/CLShift Left 연산왼쪽으로 1bit 이동, X2와 동일15.
    리포트 | 7페이지 | 1,000원 | 등록일 2013.05.24
  • [3주차] Adder_Subtractor
    4비트 입출력 감가산기의 작동을 확인하고 문제점을 찾은 후 이를 보안할 방법을 찾아본다.2. Background1) Binary code? ... 일반적으로 single-bit에 대한 subtracter도 adder와 같이 Cin의 존재에 따라서 half-subtracter와 full-subtracter로 나눌수 있다.? ... 하지만 우리가 하고자 하는 multi-bit의 감가산기에 대해서는 K-M에서 M을 2S complement를 취함으로서 K+(-M)으로 변환이 가능하게 되어 일반적인 full adder만으로도
    리포트 | 16페이지 | 2,000원 | 등록일 2012.06.30
  • 전자전기컴퓨터설계실험2(전전설2)4주차결과
    조합회로의 예로는 And gate, Adder, Mux 등이 있다.다. 감산기4bit 가산기와 마찬가지로 하위 모듈들로 구성된다. ... =0A=1 B=1 EQ=1 AGB=0 ALB=04. 1-bit Comparator를 이용해 4-bits Comparator를 설계코딩(text)// fourbit_comparatormodule ... 감산기 모델링앞서 설계한 1bit 감산기는 2의 보수를 사용하여 가산을 방식을 취하는 감산기였다.
    리포트 | 19페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 논리회로설계실험 ALU & multiplier (결과보고서)
    설계할 곱셈기가 수행할 수 있는 범위는 4bit까지이고 결과로 나올 비트수는 8bit가 된다. ... 1. ... 그리고 multiplier의 경우에는 이전에 설계하였던 adder를 활용하여 곱셈기를 설계하는 것이었는데 한자리수 2복잡할 뿐 배웠던 것을 활용하여 충분히 설계가 가능하다.4.
    리포트 | 19페이지 | 1,000원 | 등록일 2015.08.25
  • 전전컴실험Ⅱ 06반 제04주 Lab#03 [Verilog HDL] 예비보고서
    (가) BEHAVIORAL MODELING실험(나) “Lab 1”을 위한 실험 순서 및 구현 방법1. behavioral modeling을 사용하여 1BIT FULL ADDER 회로를 ... Reference (참고문헌) ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥10< 초록 (Abstract) >이번 실험은 Verilog HDL으로 1bit full adder를 구동시키는 실험이다.Test ... (가) GATE PRIMITIVE MODELING 실험(나) “Lab 1”을 위한 실험 순서 및 구현 방법1. gate primitive modeling을 사용하여 1BIT FULL
    리포트 | 11페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 최신 ASML 합격 자소서+전화영어인터뷰+자세한 면접후기
    System On Chip, 반도체, 영상처리취미 - 블로그, 탁구, 헬스자기소개CS Engineer로의 3가지 자세(협력, 원인분석, 졸업작품)와 관심분야1. ... 입출력 비트수가 맞지 않았고 선언부가 달랐고 결정적으로 진행상황을 봐가며 협력하지 않다 보니 서로의 코드를 이해하는데 너무 많은 시간이 걸렸습니다. ... LabEngineering design course: FDCT design of JPEG algorithmVerilog HDLUsing M.T Sun algorithmDesigning adder
    자기소개서 | 12페이지 | 3,000원 | 등록일 2016.11.20 | 수정일 2018.02.21
  • 4비트 전감가산기 설계결과보고서
    (A3A2A1A0+B3B2B1B0→C4S3S2S1S0)※ 1Bit 전가산기(FA)3) 4비트 전감산기- 일반적으로 뺄셈은 보수의 덧셈으로 변환하여 수행할 수 있다. ... 보수기와 가산기만을 이용한다.- 2의 보수 : 1의보수를 취하고 최하위 비트에 1을 더하여 얻어질 수 있다.2. ... 설계2 결과보고서 2009069160 김기훈1. 간단한 이론 분석1) 4비트 전가산기 설계- 이진수의 한자리수을 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.06.03
  • [논리회로실험] 실험4. ALU&Booth
    )와 응용된 가/감산기(Carry Lookahead Adder)를 설계해보았다. ... 각 상황별로 동작은 아래의 표로 정리할 수 있다.표 SEQ 표 \* ARABIC 2 Booth 알고리즘의 동작표Y0Y-1operation00No operation01X10-X11No ... 간다.③ S2 : S1상태에서 넘어온 값을 오른쪽으로 1bit 산술적 시프트를 실행한다.
    리포트 | 31페이지 | 2,500원 | 등록일 2014.03.22
  • 실험3 예비보고서
    또한 이 두 방법을 이용하여 4-bit serial adder와 4-bit parallel adder를 각각 구성하시오.① serial 가산기더하는 수와 더해지는 수의 비트 쌍들이 ... 그러므로 n비트 2진수의 덧셈을 하는 2진 병렬 가산기는 처음에는 1개의 반가산기와 n-1개의 전가산기가 필요하게 하게 된다. 구성도를 그림으로 표현해 보면 다음과 같다. ... Adder & Subtractor1.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.01.01
  • 연산회로 예비보고서
    ■반가산기-반가산기(half adder)는 이진법으로 표시된 두 개의 수를 이진법의 덧셈 규칙에 따라 더하는 가산기입니다.그림 1 반가산기 회로도그림 2 반가산기 logic symbol그림 ... ■직렬 가산기-직렬가산기는 전가산기 하나만을 이용하여 N비트의 가산을 할 수 있는 가산기입니다.직렬 가산기를 만들기 위해서는 시프트 레지스터 두 개에 각각 A, B 를 넣어 LSB가 ... ■이진 곱셈계산과 승산기-이진 곱셈계산은 아래에 나온 식과 같이 덧셈과 자리이동의 동작을 반복함으로써 실현할 수 있습니다.예)1001 TIMES 1011=1001 TIMES (1+10
    리포트 | 2페이지 | 1,500원 | 등록일 2014.11.28
  • 서강대학교 디지털논리회로실험 텀프로젝트
    마지막으로 cout은 추후 설명할 5bit adder의 carryout인데, 이 carryout이 1인 경우에도 총액 mo[4..0]가 31, 즉 3100원보다 커지는 것이므로 추가적인 ... Money Adder의 설계바리스타가 돈을 처리하는 과정에서 가장 먼저 처리해야할 돈의 입력을 adder를 설계해서 해결하고자 하였다. ... - 디지털논리회로실험 최종 보고서 ?Coffee House2013. 12. 17성 명소 속전자공학과학 년학 번지 도 교 수김영록 (인)0. 목차1. 서론2.
    리포트 | 36페이지 | 3,500원 | 등록일 2014.01.02
  • XOR를 활용한 4bit_가감산기
    - 4bit Adder 설계1. source_half adder2. source_Full adder3. 4bit 가감산기4. ... 설계 해석지금까지 배운 half_adder와 Full_adder를 이용하여 4bit 가감산기를 만들었습니다.가감산기에 Enable단자와 입력A를 XOR를 이용하여 넣어줌으로써,Enable단자의 ... control에 따라서 덧셈과 뺄셈을 하도록 설계하였습니다.Simulation 파형은 t=0에서 1100+0011 = 01111(carry=0)20 delay되면서 1010-1010
    리포트 | 4페이지 | 1,500원 | 등록일 2012.03.28
  • 디지털시스템 설계 MealyMachine 및 ALU Verilog 구현 및 테스트벤치
    리포트과목 :학과 :학번 :Prob. 1) Verilog coding and simulation for 4-bits ALU(a) Draw a detailed circuit diagram ... of 4-Bits ALU, which operates logical AND, OR,XOR and 2’s complement adder/subtractor with overflow ... (b) Write Verilog code, compile, simulate and produce a timing diagram (waveform) forthe 4-bits ALU.
    리포트 | 9페이지 | 2,000원 | 등록일 2012.11.14
  • 가산기, 감산기
    이론요약1비트 2진 가산기는 반가산기(Half Adder)와 전가산기(Full Adder)로 나누어 생각할 수 있다.인간의 계산 능력에 따라 여러 비트의 두수를 더할 때, 가장 낮은 ... 즉 부호 비트(sign bit : MSB)로 올라오는 캐리와 부호 비트에서 올라가는 캐리(캐리 출력)이 다르면 오버플로우가 발생한다는 것을 뜻한다. ... B4와 B1 비트는 입력이 접지에 연결되어 있기 때문에 항상 0이다.Adder inputCommentComparator A>B output00000input is less than
    리포트 | 5페이지 | 1,000원 | 등록일 2012.11.20
  • 결과보고서 실험 3. 가산기와 감산기 (Adder & Subtractor)
    -bit parallel adder2-bit serial adder→ 2-bit serial adder와 2-bit parallel adder 두 종류의 2bit 입력 가산기의 구성에 ... 실제로 전가산기 회로에 입력 X에 인버터 IC를 달아주면 간단하게 전감산기를 만들 수 있다.(5) 2-bit parallel adder와 2-bit serial adder를 구성한 ... , 같을 때 0을 내보내는 XOR gate의 출력 D(차비트) X가 0이고 Y가 1일 때만 출력이 1인 B(내려받음)이 발생하였다.
    리포트 | 4페이지 | 3,000원 | 등록일 2012.03.11
  • 실험 2. 가산기 & 감산기(예비)
    가산기 & 감산기1. 실험 목적-Logic gate를 이용해서 가산기(adder)와 감산기 (substracter)를 구성한다. ... 반가산기에서는 자리 올림수를 윗자리에 더해줄 수 없으므로 1+1은 0으로 처리된다.입력 변수 A와 B가 2비트 이상으로 구성되어 있을 때 반가산기만으로는 불가능함. 2진수의 덧셈에서 ... 실험 1) Half Adder(반가산기)?실험 2) Full Adder(전가산기)?실험 3) Half Subtracter(반감산기)?
    리포트 | 2페이지 | 1,000원 | 등록일 2012.09.14 | 수정일 2014.01.01
  • 디지털 회로설계 고속 동작 덧셈기 설계
    분석1) CLA (Carry Look Ahead Adder)CLA는 Cin에 의해서 다른 모든 bit에 대한 Carry를 미리 알 수 있다. ... 아래의 CLA 4bit block 을 이용하여 설계한다.- Fan-in constraints는 4로 제한한다.4. ... 이때 덧셈기는 16-bit word의 입력과 출력을 가지도록 한다.
    리포트 | 8페이지 | 2,000원 | 등록일 2013.02.11
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:32 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대