• 통큰쿠폰이벤트-통합
  • 통합검색(402)
  • 리포트(349)
  • 시험자료(26)
  • 논문(19)
  • 서식(5)
  • 자기소개서(2)
  • 방송통신대(1)

"Error detecting" 검색결과 241-260 / 402건

  • operating system concepts 3장 연습문제
    detection 오류 탐지운영 체제는 모든 가능한 오류들을 항상 탐지할 수 있어야 한다. ... 메모리를 통해서 구현될 수 있고, 비교적 사용도가 낮은 메시지 패싱 방법에 의해 구현될 수 있는데, 정보의 단위인 패킷들이 운영 체제에 의하여 프로세스들 사이를 이동하게 된다.4) error
    리포트 | 8페이지 | 3,000원 | 등록일 2011.10.26
  • [HSDPA]HSDPA의 특징과 WIBRO와의 비교, HSDPA의 발전방향에 대한 영문 프리젠테이션
    FEC method - merit : Not only detection of error it will be able to amend the error which occurs. - demerit ... : If only error of one bit it exits, error handling the reliability is low2. ... Feature of HSDPA 602H-ARQ (Hybrid Automatic Repeat Request )(1) The feature of Hybrid-ARQ- Data error
    리포트 | 19페이지 | 1,500원 | 등록일 2007.12.19
  • Western Blotting에 대한 프로토콜
    transfer buffer, 물기를 적당히 제거한다, 완전히 말리면 안 된다) membrane위에 희석한 항체 1 l씩을 일정한 간격을 두고 loading한다 (Loading error를 ... http://www.elpisbio.com/html-sub/protocols/western%20blotting.htm" \l "Dot blot을 이용한 항체의 Titer 결정" 바로가기Detection
    리포트 | 7페이지 | 1,000원 | 등록일 2011.05.21
  • [통신응용DSP] Image Data 전송 과정 시뮬레이션 (AWGN, QPSK변조)
    이번 과제에 쓰이는 이론은 다음과 같다.일반적으로 통신은 어떤 Information source를 한 Point에서 다른 Point로 최소한의 Error를 가지고 전송하는 것으로, ... 그 후엔 위의 블록에서 볼 수 있듯이 필터링을 거친 후에 t=T인 순간에 샘플링을 하여 Detection하게 된다. ... 따라서 이러한 Noise를 항상 고려하여 통신 시스템을 설계하여야 한다.① Thermal noise보면 아래와 같다.수신단에서는 위와 같은 과정을 통해 Demodulation과 Detection을
    리포트 | 14페이지 | 1,500원 | 등록일 2009.10.30
  • 디지털 워터마킹 digital watermarking
    Message Extraction 방법에는 False Positive Rate 을 줄이기 위해 주로 Error Correction Code를 사용하여 Message를 반복하여 삽입한다.하지만 ... 따라서 확인하고자하는 워터마크를 유저가 모두 지닌 경우에는 Binary detection 이 사용 가능하지만 (소유권 확인이나 진품확인의 경우), Copy control, Play ... 에서 눈여겨봐야 할 것 중 하나는 message 추출 방법인데, 단지 특정Watermark 의 존재 여부를 가리는 Binary detection 이 있고 [2], 삽입된 메시지 비트를
    리포트 | 13페이지 | 1,500원 | 등록일 2009.02.08
  • 운영체제 2장 연습문제 풀이(7판)
    .: Error detection운영체제는 모든 가능한 오류들을 항상 탐지할 수 있어야 한다.
    리포트 | 2페이지 | 1,500원 | 등록일 2008.11.14
  • 생화학 실습_ DNA 및 protein 실습
    error로 예상되는 현상은 frameshift이다. ... Protein이 발견된 column은 실험이 protocol대로 잘 진행되었음을 예상할 수 있다. 32kb에서 protein이 detection이 되지 않은 column은 Coomassie
    리포트 | 5페이지 | 1,000원 | 등록일 2010.10.10
  • CRC_Error 관련 이론 과 순서도, C언어 인코딩 디코딩
    ********************\n");printf("\n CRC-8 Error Detection \n");//기본값 사용과 입력값 사용의 선택do{printf("\n Choice ... CRC - Error Check◎관련 이론- 순환잉여검사 (CRC : Cycle Redundancy Check)CRC 란 오류가 한꺼번에 많이 발생하는 비트들(burst error) ... Detection ****\n");printf("\t**Made by Lee Kwang Bin(2002711230)***\n");printf("\t******************
    리포트 | 17페이지 | 2,500원 | 등록일 2008.01.24
  • 균형과_평형회복
    maintaining feet rotating body at a rigid mass about the ankle joints ankle에서는 Ga 불필요한 동작이나 몸의 교정동작을 'errors'로 ... alters somatosensory transmissionKnee Injuries Ligamentous injury has been shown to alter joint position detection
    리포트 | 31페이지 | 2,500원 | 등록일 2010.01.27
  • Recursive Systematic Convolution simulation 레포트
    간의 거리가 멀면 멀수록 좋은 성능을 나타내기 때문에 BPSK의 경우는 아래와 같이 π 차이가 나는 symbol을 이용한다.BPSK modulationBPSK의 coherent detection은 ... 그러나 만일 신호의 파워가 노이즈 파워보다 상대적으로 작다면 수신 단에서의 error 발생률register의 갯수를 m이라 하고 구속장(constraint length) - 출력에 ... 뿐만 아니라 훨씬 더 많은 데이터를 가지고 위의 시뮬레이션을 수행한다면 SER of BPSK with RSC 그래프의 마지막 부분에서 error가 거의 없이 일정하게 나타나는 부분에
    리포트 | 10페이지 | 2,000원 | 등록일 2009.09.01
  • PC분해 보고서
    여기에 에러 탐지(error detection) 정보도 더해진다.CD-ROM 디스크는 디지털 데이터를 저장하는 빛이 나는 기층(shyny underlayer)을 가진 폴리카보네이트( ... 중에서 Sync 정보는 CD-ROM 드라이브에게 한 섹터가 시작됨을을 알리기 위해 있는 것이고 Header와 Sub-Header는 그 섹터에 관한 정보를 나타내는 것이며 ECC(Error
    리포트 | 7페이지 | 2,500원 | 등록일 2009.12.27
  • RIS (rapid infusion system)
    일반적으로 RIS를 통해 주지않는다6) 진행중 알람이 울릴 경우 stop 버튼을 누르면 clear 된다7) off/ standby를 누르면 모든 것이 초기화되므로 주의해야한다7. error ... 있는지 확인한다- patient line이 막히거나 clot 된 부분은 없는지 확인한다- 장비 자체의 고장인지 판단한다2) air dedected at reservior air detected
    리포트 | 10페이지 | 3,500원 | 등록일 2009.05.25 | 수정일 2018.06.01
  • 무선네트워크
    만약 error가 없다면 google.language.translate함수를 통해 번역을 하게 된다. google.language.translate함수의 파라미터 중 “en"은 english의 ... 실행하여 google의 api를 호출할 수 있는 init상태를 만들어둔다.gTranslate() - 번역할 내용을 var text 변수에 저장한 후 google.language.detect함수를
    리포트 | 15페이지 | 1,000원 | 등록일 2010.12.31
  • [통신응용DSP] AWGN
    따라서 수신단은 이 정보를 Detection하여 정보를 판단하게 되고, Information으로 복원하여 전체적인 통신이 이루어지게 된다.이번 Homework는 이러한 통신 과정 중 ... 흐름도아래는 디지털통신 시스템을 간단한 Block diagram으로 나타낸 것이다.일반적으로 통신은 어떤 Information source를 한 Point에서 다른 Point로 최소한의 Error
    리포트 | 7페이지 | 1,500원 | 등록일 2009.10.30
  • RIS (Rapid Infusion System) 메뉴얼
    진행중 알람이 울릴 경우 stop 버튼을 누르면 clear 된다7. off/ standby를 누르면 모든 것이 초기화되므로 주의해야한다*error 발생 및 조치사항1. high pressure ... 있는지 확인한다- patient line이 막히거나 clot 된 부분은 없는지 확인한다- 장비 자체의 고장인지 판단한다2. air dedected at reservior air detected
    리포트 | 6페이지 | 1,000원 | 등록일 2009.04.16
  • BPSK시스템의 성능분석 시뮬레이터 설계
    Detection : BPSK 는 1과 -1의 신호를 보냄으로 threshold 값은 0이다. ... 설계목표이론으로 배운 위상편이 변조를 실제로 구현해보고 이론과 실습에 대한 오차범위나 차이를 눈으로 확인해본다.SNR에 대한 Bit error Rate그래프와 반복횟수의 증가에 따라
    리포트 | 9페이지 | 2,000원 | 등록일 2010.06.23
  • QCELP, EVRC, AMR, AMR-WB, QPSK, OQPSK 조사, 설명
    얻어진다.이러한 방법은 각 packet에서 stochastic excitation과 채널 보호에 대하여좀 더 많은 bits를 사용할 수 있으므로 효과적이라고 할 수 있다.따라서 error에 ... 제외하고 5 ms의 look-ahead delay가 있다.지원하는 기능으로는 ACELP, DTX (Discontinuous Transmission),VAD(Voice Activity Detection
    리포트 | 13페이지 | 1,000원 | 등록일 2010.10.26
  • 연세대 전기전자 기초실험 레포트 결과 2-Basic Circuit Backgrounds Ⅱ
    A little errors were came out of the external factors, such as different value between the real resistence ... Added concept for this experiment : GalvanometerA galvanometer is a type of ammeter: an instrument for detecting
    리포트 | 6페이지 | 1,000원 | 등록일 2009.12.17
  • <인간공학>SDT신호검출이론의 정의와 그에 대한 정신물리학적 접근과 예시
    신호검출이론(signal detection theory, SDT)??- 절대역의 타당성을 의심??신호검출론 실험 ?■?고전적인 정신물리학과 다른점?? ... - 반응고집의 오류(error of perseveration)???: 내림차순 방식과 오름차순 방식을 모두 실시■?조정법(method of adjustment)??
    리포트 | 5페이지 | 1,000원 | 등록일 2009.06.19
  • 모바일콘텐츠 텍스트자료
    여기에 에러 탐지(error detection) 정보도 더해진다.CD-ROM 디스크는 디지털 데이터를 저장하는 빛이 나는 기층(shyny underlayer)을 가진 폴리카보네이트(
    리포트 | 20페이지 | 3,000원 | 등록일 2010.12.29
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:37 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대