• 통큰쿠폰이벤트-통합
  • 통합검색(402)
  • 리포트(349)
  • 시험자료(26)
  • 논문(19)
  • 서식(5)
  • 자기소개서(2)
  • 방송통신대(1)

"Error detecting" 검색결과 301-320 / 402건

  • 면역세포의 분리 및 분석
    This process is error-prone, and some thymocytes fail to make functional T cell receptors, whereas other ... cell cycle, ploid, proliferation assay, cell signal, apoptosis assay, intracellular ionized calcium detection
    리포트 | 8페이지 | 2,000원 | 등록일 2008.10.06
  • [워드2003][디지털통신2]Matlab Design_Hadamard Matrix를 이용한 Orthogonal Code
    왼쪽의 그래프에서 두 축은 서로 Orthogonal이고 두 심볼 사이의 거리는 d= QUOTE 이다.이때 Bit Error Probability는 Pb=Q( QUOTE )이다.M-PSK같은 ... hadamard code mapping%% AWGN channelrx=tx+randn(1,M)*gain;%% CorrelationD = rx*h'; % Correlation Output%% Detection
    리포트 | 4페이지 | 2,000원 | 등록일 2009.03.01
  • 4G 기술 및 성능 분석
    V-BLAST는 수신안테나수가 송신안테나 수와 같거나 많아야 하며, 수신기에서 검출 방법에 따라 Linear detection, ML(Maximum Likelihood detection ... 다른 신호들은 간섭 신호로 간주하고 이를 ZF(Zero-Forcing) nulling시키거나, 잡음 요소를 고려하여 간섭신호를 억제하는 MMSE(Minimum Mean Square Error
    리포트 | 38페이지 | 3,000원 | 등록일 2007.05.20
  • [코딩이론] Hamming Codes
    Detection Code (CRC)Why error detection code ? ... Correcting /Detecting CapabilityECC-10Error Correcting Capability, t단, x 는 x 보다 크지 않은 정수Error Detecting ... - Error detection capability < Error detection capability(오류검출불가 확률이 낮아야 하는 채널에 적합)- Cost effective solution
    리포트 | 38페이지 | 1,000원 | 등록일 2002.11.19
  • [공학기술]이동통신기술자료보고서
    VAD (Voice Activity Detection)에 따른 동작。Cell Sectorization。동적 channel 할당。전력제어8. 국내 동향。’ ... 긴 동기시간 필요, 강력한 error 정정필요나. Chirp Modulation 방식。 최초 RADAR의 거리분해능력 향상 목적으로 개발。 ... Hit 간섭 발생 : 둘 이상의 사용자가 동시에 동일주파수의 반송파 사용시 완전한 직교가 아니므로 발생 ← 강력한 error 타방식가. Time Hopping 방식。
    리포트 | 8페이지 | 2,000원 | 등록일 2007.07.11
  • [컴퓨터]PC의 각종 고장이나 장애 증세
    Keyboard Interface Error 또는 K/B Interface Error, Keyboard Controller Error? ... 하드 디스크의 종류가 제대로 설정되지 않았다면 'IDE HDD AUTO DETECTION'메뉴에서 시스템에 설치된 하드 디스크를 자동으로 인식시킬 수 있다.이와 같은 방법으로도 인식이 ... Diskette drives or Types Mismatch Error - run setup?
    리포트 | 24페이지 | 1,500원 | 등록일 2005.09.27
  • 세대별 통신의 정의와 발전
    하나는 전송되는 정보가 암호화 된다는 것과, 전송되는 정보들에 한하여 Error detecting & correction을 할 수 있다는 것이다. ... .- 음성 채널은 아날로그 변조가 이루어지지만, control channel은 디지털 변조를 한다.이는 제어 정보만큼은 매우 중요한 정보로서 error에 대한 보상이 이루어져야 하기
    리포트 | 5페이지 | 1,000원 | 등록일 2006.12.01
  • mp3 푸리에 변환
    CRC(Error Detection Code)는 선택사항으로 CRC의 유무는 헤더에서 정의되며, 길이는 16비트입니다.
    리포트 | 7페이지 | 1,000원 | 등록일 2008.08.17
  • [논리회로] 논리회로 - Chapter 3 PROBLEMS solution
    Design a combinational circuit that detects an error in the representation of a decimal digit in
    리포트 | 6페이지 | 1,000원 | 등록일 2004.11.06
  • [영상처리]Robust facial expression recognition Using a State-Based Model of Spatially-Localised Facial Dynamics<논문ppt자료>
    Detection of Facial Occlusion during Tracking. ... 원래의 포인트와 잡음 포인트의 위치 사이에서 RMSE(the root mean square error)를 계산함으로써 잡음을 측정한다.Noise tests(2)Figure 7 은 증대된 ... Detection, Track-ing, and Classification of Action Units in Facial Expression.
    리포트 | 22페이지 | 3,000원 | 등록일 2005.11.17
  • [전기전자] 센서의 정의
    is the lowest value of measured that can be detected by the sensor. ... under the same conditions, and in the same direction.Interchangeability means the maximum possible error ... Linearity and other errors and be compensated or handled using microprocessor-based systems and additional
    리포트 | 5페이지 | 1,000원 | 등록일 2004.10.06
  • 바이오 칩(DNA chip)
    Normalization을 함으로써 total mRNA의 loading량의 차이나 PMT setting 차이에 의한 experimental error의 영향을 제거해 준다.3. ... 돌연변이 및 다형의 검출 (Detection of mutation/polymorphism)- 염기서열만 틀려도 결합을 하지 않는 성질을 이용하여 한 염기에 생긴 point mutation까지도
    리포트 | 10페이지 | 3,500원 | 등록일 2007.11.27
  • 제10장 데이터 코드와 처리 패턴
    Detection) : 잘못된 코드를 가진 대상을 분별해밍코드 ? ... Imagination) : 어떠한 대상을 설명하는지 연상 (주민번호)- 암호화 기능 (Encryption) : 의미를 압축하여 본래의 의미를 감춤(보안이 요구되는 경우)- 오류 검출 기능 (Error
    리포트 | 13페이지 | 2,000원 | 등록일 2008.09.04
  • [운영체제]2006년 응용 운영체제 개념 연습문제 3장
    .- 오류 탐지(Error detection) : CPU, 메모리 하드웨어, 입/출력 장치, 사용자 프로그램에서 일어날 수 있는 각 타입의 오류에 대해, 운영 체제는 올바르고 일관성
    리포트 | 2페이지 | 1,000원 | 등록일 2006.03.27
  • [aicpa]AICPA AUDIT part summary
    proper approval.3.Inadequate segregation of duties.1.Confirmation of receivables by an auditor fails to detect ... cutoff statement to bank reconciliation4.Customer note collected by bankE. inspect bank credit memo5.Error ... sizeRisk of incorrect acceptanceDecreaseRisk of incorrect rejectionDecreaseTolerable misstatement (Error
    시험자료 | 72페이지 | 2,000원 | 등록일 2006.03.04
  • RFID/USN의 개요 및 구성원리
    Detection (FWD)16 bit CRC16 bit CRC16 bit CRCCollision Arbitration방식BinaryBinarySlotted Aloha통신 채널간 ... 증가로 초당 태그 인식수가 늘어남 (4배 이상의 속도 개선)TagUnique ID6464 or 96128 or 256태그 ID 의 기본 길이가 늘어남.Preamble (bits)986Error
    리포트 | 9페이지 | 1,000원 | 등록일 2007.04.17
  • [공대]상용 cam 시스템의 종류와 곡면 모델링 방법
    -화면의 해상도와 독립적인 판별력으로 과미삭을 항상 우선적으로 표시 별도의 단면창이 제공되며 단면의 동적 확대/이동이 가능해졌다.그리고 다음과 같은 특장점은 Error가 사전에 차단된 ... 물론, 오픈 바운더리를 닫을 수도 있다.2)가공영역자동추출(Automatic Slop Detection)NCG는 3차원 모델 서페이스 슬로우프로부터 가공 바운더리를 자동적으로 산출할수 ... 통해 부분적 황삭, Z-level 혹은 평면 정삭과 같은 공구경로를 정확하게 측정할 수 있다.더욱이 선택된 바운더리를 바탕으로 자동 슬로우프 영역 추출(automatic slope detection
    리포트 | 9페이지 | 3,000원 | 등록일 2006.06.16
  • 컴퓨터개론 논리회로 시스템분석.. 등에서의 코드의 종류
    (예)대상코드대상코드ABC::YZ010203::2526ㄱㄴㄷ::ㅍㅎ010203::1314⑾에러 검출 코드(error detecting code)① 정의 : 코드 자체로 오류를 검출할
    리포트 | 9페이지 | 1,000원 | 등록일 2008.05.14
  • [네트워크] 네트워크 실험 결과 3
    Day of the monthMONTH Month of the year12803#clock set 15:26:00 28 10^% Invalid input detected at '^' ... , 0 CRC, 0 frame, 0 overrun, 0 ignored0 watchdog0 input packets with dribble condition detected0 packets ... output, 0 bytes, 0 underruns0 output errors, 0 collisions, 0 interface resets0 babbles, 0 late collision
    리포트 | 10페이지 | 1,000원 | 등록일 2004.01.01
  • [자성재료] 자기센서와 EAS System
    to certain frequency -> strong electric signal is generated- simpler than magnetic system but some errors ... (d) The magnetic field is detected by receiver and transformed into electric field, namely induction ... : response to the magnetic field(2) Transmitter : generate alternating magnetic field(3) Receiver : detect
    리포트 | 5페이지 | 1,000원 | 등록일 2004.12.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:58 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대