• 통큰쿠폰이벤트-통합
  • 통합검색(1,620)
  • 리포트(1,498)
  • 시험자료(80)
  • 자기소개서(23)
  • 논문(12)
  • 서식(3)
  • 방송통신대(2)
  • 이력서(2)

"signals and system" 검색결과 241-260 / 1,620건

  • 영어 독해 ppt
    커서로 혀를 사용하며 Move the tongue forward / 혀를 앞쪽으로 움직여라 and it sends a wireless signal to six sensors in a ... 근 위축성 측색 경화증이라고 불리는 또 다른 질병이 있다 . * stroke 뇌졸중 * ALS 근 위축성 측색 경화증Known as the tongue drive system, 혀 ... *magnet: 자석 *cursor:( 컴퓨터 화면의 ) 커서 *wireless signal: 무선 신호GHOVANLOO: one of the major advantages of the
    리포트 | 8페이지 | 2,000원 | 등록일 2018.07.09
  • 원광대 분자면역학 면역관용과 mtor ppt발표자료
    4 ↑ IL-2 ↓ IL-2 ↑ 13 Key Roles of IL-2 / APCFoxp3 expression Treg function 14mTOR pathway 15 Immune signals ... Rev Immunol . ; 12(5): 325–338. doi:10.1038/nri3198 Zoncu R, Efeyan A, Sabatini DM. mTOR: from growth signal ... -461a-af6a-34b5a9009879 v= b= from_search=2 http://m.cafe.naver.com/idoctorplus/387 https://www.cellsignal.com
    리포트 | 26페이지 | 2,000원 | 등록일 2018.06.04
  • 디지털논리회로실험(Verilog HDL) - Real-time clock, counter
    Derive a control signal, from the 50-MHz clock signal provided on the DE2-115 board, to increment or ... Ex : Highway speed measurement system-Two sensors “a” and “b” in road-Use FSM to detect “a” becoming ... The button switch KEY0 can be used to reset the real-time clock and KEY1 to load the values of hour and
    리포트 | 8페이지 | 1,000원 | 등록일 2019.08.29
  • [경희대] DSP실험 프로젝트입니다.
    Specify input and output signal for each block.c. Specify the functions of each block.4. ... Source: audio signal having fs=44.1 kHz3. System Block Diagrama. Draw a system block diagramb. ... Noisy signal generation (randn)a. Speech signal + Noisei. AWGN (Additive White Gaussian Noise)b.
    리포트 | 2페이지 | 4,000원 | 등록일 2016.11.10 | 수정일 2017.02.10
  • 결과보고서 - 4bit ALU
    ;assign w1={5{~s}}&x0;// copying s to make a 5-bit signal// s AND x1 : if s=1, x1 is selected// ~s AND ... 2], sel[3], Dout);// then, a single signal out of 4 based on the values of sel[2] and sel[3]endmodule이제 ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험결 과 보 고 서디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부작성자 : 전기전자전파
    리포트 | 7페이지 | 2,000원 | 등록일 2017.11.08
  • 심장 초음파 (Echocardiography)
    time Transducer Tissue interfaceTransducersComputer processes returned signal to produce image Modifies ... rapid signal processing allow real time imaging Two-dimensional imagingMechanical transducerM-mode 2D-mode3D ... Basic principles of ultrasound as applied to cardiac imaging Ultrasound systems for cardiac imaging Ultrasound
    리포트 | 65페이지 | 2,000원 | 등록일 2018.09.20
  • 전기전자기초실험 Audio Amplifier Circuit Design 결과레포트 (영어)
    The main factor is that it might be influenced by signal noise, voltage dropping and so on.- Measure ... Capacitor stacks the half-wave signal which is flowing periodically. ... After that it release the signal as DC. But the ripple is represented.
    리포트 | 10페이지 | 1,000원 | 등록일 2017.12.01
  • FACS (Flouorescent Activated Cell Sorting, Flow cytometry)
    immumophenotyping , chromosome analysis, cell cycle, proliferation, intracellular ionized calcium detection, cell signal ... membrane potential assay, RNA measurement, nuclear associated antigen quantitationProperties of FSC and ... most information from small samples Improved accuracy of subset identificationOrgans of the Immune System늙은
    리포트 | 32페이지 | 3,500원 | 등록일 2019.05.17
  • 우영미러시스템(주) 자소서작성 성공패턴과 경력사원 입사시험 기출면접문제
    This is different from other light-reflecting objects that do not preserve much of the original wave signal ... other than color and diffuse reflected light. ... 지원자의 스펙이나 가지고 있는 기술, 엔지니어링, 혹은 경영 행정 분야에 어떻게 적용되는지 설명해 보세요.2) 자동차 부품의 미러 기능의 중요한 것 몇가지를 설명해 보세요.3) 우영미러시스템
    자기소개서 | 130페이지 | 9,900원 | 등록일 2016.12.10
  • 서울시립대학교 통신공학실습 4주차 예비레포트
    ratio (Es / No) : 블록이 블록 마스크에서 지정한 양을 기준으로 분산을 계산합니다.Es / No : 잡음 에너지 스펙트럼 에너지에 대한 신호 에너지의 비율 입력Input signal ... Supposed Data and Results of this Lab (예상 실험 결과)가. ... 가우시안 잡음)가산적/부가적 (Additive) 의미열잡음이 신호 위에 곱하기 연산 과정 없이 단지 더해지는 형태를 취하기 때문모든 통신 채널에 항상 가산적으로 부가됨대부분의 통신시스템에서
    리포트 | 5페이지 | 2,000원 | 등록일 2019.07.29 | 수정일 2019.08.10
  • VHDL이란?
    그러나 signal과 variable은 값의 변화가 가능하다. ... 또한 process 내부는 차례로 수행되는 sequential문이 쓰인다.process라는 지정어 다음에 나오는 감지리스트는 signal들의 이름을 리스트로 가지며 이들 signal ... VHDL에서는 constant(상수), signal(신호), variable(변수) 세 가지가 있다.
    리포트 | 13페이지 | 1,000원 | 등록일 2017.12.01
  • Longman Academic Reading Series 5 Chapter 4-2 번역본
    is GPS, Global Positioning System.가장 정확한 시스템은 GPS, 위성 위치 확인 시스템이다.GPS is a satellite-based navigation ... transmit signal information to Earth.GPS 위성들은 하루에 두 번 매우 정확한 궤도를 돌면서 지구로 신호를 보낸다.GPS receivers take ... 사용자의 정확한 위치를 계산하기 위해 삼각측량법을 사용한다.Essentially, the GPS receiver compares the time a signal was transmitted
    시험자료 | 4페이지 | 2,500원 | 등록일 2018.05.20 | 수정일 2018.05.24
  • 2017 신호 및시스템 Signal and Systems
    Signal and Systems Signal and Systems 1moving average (N = 4) Signal and Systems Signal and Systems 2 ... Signal and Systems _ 과제 3 2013312319 이혜빈 Signal and Systems Signal and Systems1 목차 맥파 신호와 다양한 함수들의 convolution ... Signal and Systems Signal and Systems 1convolution sum with square wave (T = 2 π ) Signal and Systems
    리포트 | 15페이지 | 1,000원 | 등록일 2017.12.01
  • EKG
    EKGEKG EKG = Signal What types of signal ? = Electrical current of heart Where ? ... EKG This findings has a sensitivity of 69% and a specificity of 89% for significant ( 70%) proximal ... Wave and Segment – QT intervalEKG interpretation Systemic approach Calibration Rate Rhythm Axis - Deviation
    리포트 | 108페이지 | 4,000원 | 등록일 2019.07.23
  • 5.오실로스코프 (예비)
    입출력 관계의 비교나 시스템을 통과한 신호의 지연을 살펴보는 경우를 예로 들 수 있다. ... .* 참고(1) 합성과 반전(Addition and Inversion)두 개의 신호를 단지 더하기만 하는 것은 별로 실효성이 없다. ... 이렇게 함으로써 공통모드의 간섭을 제거하거나 두 신호의 차의 측정 등에 유용하게 이용할 수 있다.(2) 교번 및 절단(Alternate and Chop)Oscilloscope는 한번에
    리포트 | 6페이지 | 1,000원 | 등록일 2012.09.26
  • PLC Overview (영문발표자료)
    conversion and isolation between the internal logic-level signals inside the PLC and the field's high ... level signal.Major Components of a Common PLCPROCESSOR - Less Provides intelligence to command and govern ... - Less Provides the voltage needed to run the primary PLC components I/O MODULES - Wiring Provides signal
    리포트 | 28페이지 | 1,000원 | 등록일 2017.04.05
  • TSM 의 개념과 정의
    express bus service, congestion pricing Class B : Enhance roadway supply(improve traffic flow) ex) signal ... TSM 의 개념과 정의 Transportation Systems Management Background History Definition and Objectives 1975 년 미국의 ... organize these individual elements into one efficient , productive , and integrated transportation system
    리포트 | 14페이지 | 2,500원 | 등록일 2018.12.06
  • 전기전자기초실험 FSM Design Experiment 결과레포트 (영어)
    only when the global clock signal changes. ... This means that the state diagram will include both an input and output signal for each transition edge ... Typically the current state is stored in flip-flops, and a global clock signal is connected to the "clock
    리포트 | 9페이지 | 1,000원 | 등록일 2017.12.01
  • 디지털 신호처리_(FFT 분석과 LPF, FIR 적용)
    LPF ( Low Pass Filter) A low-pass filter is a filter that passes low-frequency signals and attenuates ... (reduces the amplitude of) signals with frequencies higher than the cutoff frequency. ... FIR ( Finite Impulse Response) In signal processing, a finite impulse response (FIR) filter is a filter
    리포트 | 17페이지 | 2,000원 | 등록일 2016.08.22
  • DSP실험 Design a Speech Enhancement System
    Analysis of the systemperformancea. MSEi. Error between original signal and filtered signal.ii. ... ');ble('signal');subplot(2,1,2);plot(t,y);title('output signal');xlable('t');ylable('signal');**단 필터부의 ... 이를 통해 원래 signal에고 예상하였다.
    리포트 | 12페이지 | 2,000원 | 등록일 2016.09.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:35 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대