• 통큰쿠폰이벤트-통합
  • 통합검색(1,620)
  • 리포트(1,498)
  • 시험자료(80)
  • 자기소개서(23)
  • 논문(12)
  • 서식(3)
  • 방송통신대(2)
  • 이력서(2)

"signals and system" 검색결과 161-180 / 1,620건

  • 면역학 중간까지의 내용정리입니다.
    이후, signal에 따라 monocyte는 Dendritic cell이나 macrophage로 분화할수 있게 된다.→ Cell adhesion molecule이 inflammatory ... 과정들을 innate immuity라고 함 (빠르게 발생)면역시스템의 4가지 주요 임무는 1.감염 탐지/인식 2. ... 면역계를 구성하는 것들innate and adaptive immune response는 Leukocyte(백혈구)의 활성에 의존하여 발생Leukocyte들은 mature시 특정 tissue에서
    시험자료 | 16페이지 | 4,000원 | 등록일 2023.05.18 | 수정일 2023.06.28
  • 레포트(약물학) 당뇨에 대한 경구용 혈당 강하제의 효과 A+자료
    유해한 자극은 크게 chemical, mechanical, thermal stimulation으로 각각 나뉘며, 이들에 대한 nociceptor의 signal이 척수를 거쳐 뇌로 전달되어 ... peroxide levels )에서 APAP효과가 다르게 나타나는 현상을 설명할 수 있다.또한 APAP의 대사체(AM404)는 CNS의 endogenous cannabinoid system을 ... 약으로, 그 기전에 따라 크게 Non-Narcotic Analgesics (비마약성 진통제), Narcotic Analgesics (마약성 진통제), Anticonvulsants &
    리포트 | 10페이지 | 4,000원 | 등록일 2020.04.25
  • 레포트(약물학) acetaminophen(APAP)의 효과 A+자료
    유해한 자극은 크게 chemical, mechanical, thermal stimulation으로 각각 나뉘며, 이들에 대한 nociceptor의 signal이 척수를 거쳐 뇌로 전달되어 ... peroxide levels )에서 APAP효과가 다르게 나타나는 현상을 설명할 수 있다.또한 APAP의 대사체(AM404)는 CNS의 endogenous cannabinoid system을 ... 약으로, 그 기전에 따라 크게 Non-Narcotic Analgesics (비마약성 진통제), Narcotic Analgesics (마약성 진통제), Anticonvulsants &
    리포트 | 10페이지 | 4,000원 | 등록일 2020.04.25
  • 베스트셀러 서평_송길영의 시대 예보 핵개인의 시대를 읽고 나서
    docId=932882&cid=43667&categoryId=43667" 나노(nano)는 10억분의 1을 나타내는 단위로, 초미세의 원자 세계를 일컫는 용어다. ... 바로 이들이 새로운 규칙을 지속적으로만들며 기존의 사회 패러다임을 하나씩 무너뜨리고 있다는 것이다.다시 말하지만 저자가 관찰한 범상치 않은 변화의 시그널은 우리가 쪼개지고, 흩어져, ... 산업화의 격변과 도시화의 확장을 경험한 지금까지의 관찰을 통해, 현재에 대한 이해를 바탕으로 미래의 흐름과 트렌드에 대한 인사이트를 제시하고 있다.저자는 지금껏 사회를 유지해 오던 시스템
    리포트 | 8페이지 | 8,900원 | 등록일 2023.11.06
  • 항체생산
    다음은 Antibody binding 단계로 목적 단백질에 특이성을 갖는 1차 항체를 처리하고 1차 항체에 대해 결합하는 2차 항체를 처리해 signal을 증폭시키는 과정이다. 1차 ... Materials and Methods항원 (6X His tagged eGFP)를 1X PBS를 사용해 0.5 mg/ml 농도의 용액 1.5 ml로 희석해 준다. ... Universal mouse numbering system에 의한 mouse의 ear tagging 방법항원 접종 시에 항원과 Adjuvant를 섞은 용액을 주사한다.
    리포트 | 10페이지 | 2,500원 | 등록일 2023.12.08
  • 조선대 컴퓨터네트워크 중간시험 답
    Intradomain에서stance to speed of signal3) packet length to transmission rate4) processing time to transmission ... 다음의 설명은 TCP/IP Protocol Suite에서 무엇에 대한 설명인지 해당되는 용어를 기술하라.컴퓨터 시스템의 OS 내부에 SW 구현되는 2개의 계층답 : 트랜스포트계층, ... Determines whether two systems can communicate with each other at the IP level1) Echo request/reply2)
    시험자료 | 7페이지 | 2,000원 | 등록일 2021.09.01
  • 생명공학의 응용 및 성공사례
    인간의 감정을 이해하고 공유할 수 있는 ' 인공지능칩'이 가능해질 것으로 예측한다 .전자 및 기계 바이오센서 : 바이오센서란 생체감지물질 ( bloreceptor )과 신호변화기 (signal ... 토양과 물 개선을 위한 생명공학기술 (Bio-tech improvements to soil and water) 바이오환경복원기술 (bio-remediation), 바이오재생산기술 ( ... 바이오컴퓨터 : 바이오컴퓨터는 인간 뇌의 정보처리시스템을 모방한 컴퓨터 , DNA 컴퓨터 등을 의미한다 .
    리포트 | 28페이지 | 3,000원 | 등록일 2022.11.10
  • 생체분자진단공학에 대한 기본 개념 정리입니다.
    - Functionalized membrane에 특정물질과 결합하는 Receptor 존재 → 결합한 반응(Selectivity, Specificity,정확도)이 Transform signal ... 면역화학적 진단바이오진단 시스템이란 질환 및 건itope, monoclonal antibody(mAb)는 특정 epitope를 지닌다. mAb를 제작하는 ㅤㅏㅂㅇ법으로는 특정동물에게 ... of heredity- 1869년 Johann Miescher (1844 ~ 1985) : Purification of DNA (백혈구로부터 핵산을 추출)- 1953년 Watson and
    시험자료 | 6페이지 | 3,000원 | 등록일 2023.06.28
  • Time-resolved Thermal Lens Calorimetry
    exponential 함수는 으로서 나타내어진다.이 함수는 의 등식과 비슷한 형태를 띠는데, 우선 이 그래프는 Time에 따른 Signal Voltage를 나타내므로 x=time, y=signal ... Chemicals >Optical systemVolumetric flasks(5mL 2ea, 50mL), Pipets(10mL 1ea)Methanol, Acetone, Malachite ... 따라서 이 값들을이용하면 의 값, 즉 dye material의 molar absorptivity를 알아낼 수 있다.Experimental Methods< Instruments and
    리포트 | 11페이지 | 2,000원 | 등록일 2020.05.25 | 수정일 2020.12.20
  • 분석화학 실습 HPLC를 이용한 카페인 정량 레포트
    액체의 이동상을 고압으로 흘려보낼 수 있는 펌프와 시료를 주입하는 시료주입기, 물질을 분리하는 컬럼, 분리된 물질을 검출하는 검출기, 검출기의 시그널을 기록 및 가공하는 자료처리장치로 ... 특히 HPLC는 기체상태의 시료를 분석하는 GC와 달리 용액상태에서 시료를 분석하기 때문에 응용 폭이 매우 넓어 실제 의약품의 분석에 가장 널리 사용되는 방법이다.HPLC system은 ... :35)으로 희석하여 각각 1000, 500, 250, 100, 50, 10ug/ml를 조제하여 표준곡선의 검액으로 한다.⑶LC operation①LC operationpump, and
    리포트 | 5페이지 | 2,500원 | 등록일 2024.01.23
  • NCS기반 아두이노 응용 창의작품 경진대회 결과보고서
    시스템 구성도 및 동작 설명먼저 아크릴 모드에 모터를 DC모터를 연결하고 L298N 모터 드라이버 모듈을 연결하였습니다. ... 이때 라인센서의 S는 시그널이고 V는 VCC, G는 GND로이와 같이 우노보드에 연결을 할 때 SVG의 순으로 연결을 하게 되고 A0, A1, A2에 연결을 하여 센서와 아두이노를 ... && digitalRead(RS) == 0){direction = 2; // 좌}else if(digitalRead(LS) == 0 && digitalRead(MS) == 0 &&
    리포트 | 12페이지 | 3,000원 | 등록일 2021.01.02
  • psvt 케이스스터디 5개 간호진단 5개 간호과정
    말한다.정상적으로 심장(심방과 심실)은 공동의 작업을 통해 수축이 이루어지는데, 심장의 동방결절(sinoatrial node, S-A node)에서 내보내는 전기적신호(electrical signal ... Review Of System- 일반적인 전체 건강상태 : fever(-), weight 68kg, gain/loss(-), height 154cm, appetite(normal)- ... Well compressed both femoral and popliteal veins are noted. On color Duplex study.
    리포트 | 30페이지 | 1,500원 | 등록일 2020.04.14 | 수정일 2020.04.28
  • 결과보고서4_디지털통신2_Block Coding and Decoding
    이 신호들은 주파수와 크기가 고정된 신호들이다.용도8.3kHz TTL 신호를 분주해서 전체 모듈의 CL 각각의 새로운 비트가 돼야한다.용도마스터 시그널은 8kHz가 조금 넘는 주파수인데 ... 예로는 Hadamard Code, PN 코드 등이 있다.실험 장비 및 모듈TIMSTIMS는 통신 모델링 시스템이다. ... 수학적인 방정식을 전기 신호로 표현해주거나 통신 시스템의 블록 다이어그램을 보여준다. TIMS는 실습 교육을 위해 만들어졌다.
    리포트 | 20페이지 | 2,000원 | 등록일 2021.09.23
  • 글로벌 인재 양성 - 한류를 이끄는 CJ E&M의 성장동력
    우리가 알고 있는 ‘미생’, ‘시그널’ 과 같이 ‘tvN’의 인기 드라마는 이미 한 번씩 지상파에서 거절당한 작품들이며 두 드라마 모두 종영 후 해외로 수출(리메이크) 되었다. ... 문화와 콘텐츠는 중국시장의 영향력과 중요성이 강조되기에 전 임직원들은 사내에서 중국어를 배울 수 있는 시스템이 갖추어져 있다. ... ‘랭귀지 랩’은 개인별 강약점을 파악할 수 있도록 1:1 또는 소그룹의 맞춤 시스템은 물론 학습의 몰입도를 높이기 위한 사내 별도의 공간도 제공된다.작년에는 글로벌 트렌드를 선도하는
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.04 | 수정일 2020.12.05
  • 저전력 VLSI 기술
    idxno=28105 [2] 위재경 외 2 명 , 2005, 『GHz 급 고성능 저전력 ULSI 칩 설계를 위한 전원제어 , 시그널링 및 인터커넥션 시스템 기술개발 』, 『 특정기초연구사업 ... https://www.researchgate.net/figure/a-Adiabatic-Logic-Gate-b-PFAL-buffer-c-RC-modeling-of-one-gate-and-power-clock_fig1 ... 줄이기에 용이 다중 전압을 구현하기 위한 DC-DC 변환기 , 클록 변환용 PLL, 전압이 다른 블록 간 신호 레벨을 맞추기 위한 레벨 시프트 , 전압 아일랜드 구현 등이 추가 시스템
    리포트 | 17페이지 | 1,000원 | 등록일 2020.04.29
  • B 세포 activation과 Sandwich ELISA 기법 실험
    Anti-IgM만 넣은 Bcell의 경우에는 TD antigen 이긴 하지만, CD40에 결합하여 signal을 주는 CD40L도 없고 b cell을 proliferating 시켜 ... ELISA 에서는 antibody 또는 antigen을 인식하는 별 다른 secondary antibody가 없다면 이 때 biotin-streptavidin system을 사용한다 ... Cell counting을 위한 media를 1ml 넣고 pipet으로 up and down을 하며 cell resuspension을 시켜준 후, e-tube에 10 를 pipet으로
    리포트 | 11페이지 | 2,500원 | 등록일 2021.06.19
  • OSI 7 계층 참조 모델에 대한 정의 및 계층구조, 계층별 기능에 대하여 기술하시기 바랍니다.
    이를 역할별로 구분한 OSI계층이란 Open System Interconnection의 약자로 개방형 시스템 상호접속을 위한 참조모델을 의미한다. 1980년대 초에 ISO는 업체들이 ... OSI 7 계층의 기본요소① 개방형 시스템(open system): OSI에서 규정하는 프로토콜에 따라 응용 프로세스(컴퓨터, 통신제어장치, 터미널 제어장치, 터미널)간의 통신을 수행할 ... TCP/IP의 포트번호가 대표적인 예다.② 분할과 재조립(Segmentation and Reass된다.
    리포트 | 11페이지 | 8,000원 | 등록일 2020.09.21
  • [재료공학실험]알루미늄 입도분석실험(체분석)
    입자크기가 균일하고 확산계수가 단일하고 잘 알고 있다고 가정하면 용액중의 작은 입자들은 빠르게 움직일 것이고 그 결과 intensity signal이 빠르게 fluctuation할 ... EDS 성분 분석(Elemental Analysis & Mapping)2) 기본 이론① 전자빔과 시편과의 상호작용? ... 저항의 증가는 전압의 펄스를 일으켜 이 펄스의 높이로부터 입자의 크기를 계산한다.5) 광주사방법(Light scattering method)Laser(대개 5mW 결정하여 주어진 system에서
    리포트 | 9페이지 | 3,200원 | 등록일 2022.10.15
  • 청각장애인을 위한 동력수상레저기구 운영 개선방안에 관한 연구
    of dangerous signals such as maneuvering and warning signals through sound signals to prevent accidents ... Through visual display of sound signals such as dangerous signals that cannot be heard owing to hearing ... proposed to prevent marine accidents that can occur owing to the inability of the deaf to check sound signals
    논문 | 7페이지 | 4,000원 | 등록일 2024.02.12 | 수정일 2024.09.18
  • 이동통신공학 보고서 10.Walsh code PN code
    autocorrelation 값을 가짐따라서 많은 사용자를 수용하기 위해서는 m-sequence의 주기를 높일 필요가 있음[그림2-3] PN sequence의autocorrelation[그림2-4] PN signal의 ... frequency hopping pattern 결정THSS- data burst time slot 결정DS-CDMA- Multiple access code로 활용- Scrambling and ... IS-95A/B, C및 동기식/비동기식 IMT-2000 시스템에서 사용함생성Hadamard MAtrix를 이용한 생성 방법(Walsh-Hadamard MAtrix)첫 열은 제외하고
    리포트 | 20페이지 | 2,000원 | 등록일 2021.09.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대