• 통큰쿠폰이벤트-통합
  • 통합검색(2,817)
  • 리포트(2,312)
  • 자기소개서(424)
  • 논문(34)
  • 시험자료(27)
  • 서식(9)
  • 방송통신대(9)
  • 이력서(1)
  • ppt테마(1)

"모터 제어 결과" 검색결과 261-280 / 2,817건

  • 부산대학교 기계공학부 기계공학실험1 A+ 밀링보고서(2022년 1학기)
    개폐손잡이를 조금씩 작동시켜 공작물을 고정시킨다.③ 컴퓨터와 제어판 장비 전원을 키고, 밀링 batch파일을 실행시키고, ‘n’을 입력한다.④ CNC 밀링프로그램 화면 좌상단 비상버튼을 ... 스위치를 OFF하고, 비상버튼을 누르고 전원스위치를 OFF한다.⑬ 바이스를 풀고 가공결과물을 꺼낸다.⑭ 브러쉬를 이용하여 가공 칩을 제거하고 장비를 청소한다.실습결과1. ... 두 가지 이유에 대한 개선방법에 대하여 고찰한 결과는 다음과 같다.?
    리포트 | 6페이지 | 1,000원 | 등록일 2022.12.11
  • 현대모비스 ADAS 전동화 합격자소서
    전체적인 회로를 수정하며 지속적인 Simulation을 돌린 결과 최적값을 찾을 수 있었습니다. ... 이전에는 어떤 일을 다른 사람들과 함께하기보다 혼자 하는 것이 편하고 결과도 더 좋다고 생각했습니다. ... 이를 통해 구동모터, 인버터 컨버터를 직접 제작해 본 경험이 있습니다.
    자기소개서 | 6페이지 | 3,000원 | 등록일 2021.04.10
  • V. 제어기술 요약정리 및 예상문제
    - 어떤 물리량의 상태를 바라는 목적에 맞는 상태로 하는 것.2.피드백 제어- 제어결과가 한바퀴 돌아서 다시 처음으로 돌아오는 제어계3,시퀀스 제어- 정해진 순서에 따라 제어의 각 ... 소프트웨어에 의해 처리하고,연산결과(출력)를 D/A변환해서 조작부로 명령- 제어동작은 소프트웨어로 작성할 수 있기 때문에 보정연산이나 스케줄 제어 등을 간단하게 짜넣을 수 있다.10 ... 등에 사용.17.열동형과전류계전기/셔멀릴레이(THR): 과전류(모타 등의 과부하 전류)가 흐르면 바이메탈이 열을 받아서 굽어져 접점이 동작된다.18.플로우트 스위치(FS): 레벨스위치라고도
    시험자료 | 3페이지 | 1,500원 | 등록일 2020.04.28
  • 롯데이네오스화학 구 롯데비피화학 서류합격 자기소개서
    아두이노를 중심으로 리미트 스위치, 모터, 빗물 감지센서, 미세먼지 감지센서 등 다양한 센서를 이용한 제어 기술을 구현하기 위해선 전공 이외 컴퓨터 분야의 지식이 필요했습니다. ... 자동 창문 제어 시스템 설계에 성공했고, 대회에 출전해 대상을 수상하고 지역 방송과, 지역 신문에 실리는 소중한 결과를 얻을 수 있었습니다.성장 과정을 통해 얻은 책임감과 경험을 바탕으로 ... 그러나 저는 포기하지 않고 이 일에 책임감과 주인의식을 가지고 남들보다 일찍 학교에 나가 실패의 원인부터 꼼꼼하게 분석하고 또 다른 방법을 동원하는 등의 노력을 기울인 결과 마침내
    자기소개서 | 2페이지 | 3,500원 | 등록일 2023.07.25
  • 인하대 도립진자제어실험 기계공학실험A 레포트
    진동제어A-7A-8A-9A-10A-11A-12모터 제어금속재료진동실험복합재료동역학실험동마찰계수 및 충격량 측정이 름 : x x x학 번 : xxxxxxxx담당교수 : x x x 교수님담당조교 ... K3.7K값을 증가시키면서 진자의 움직임을 관찰해본 결과K값이 증가할수록 진폭과 주기가 감소하는 경향을 보이면서 계속 stable한 상태를 유지했다. ... 여기까지는 이론적인 결과와 비슷했지만 K=3.7이 되자 진자의 변위가 발산해서 죽어버렸다. 이렇게 차이가 발생하는 가장 큰 이유는 진자의 모델링 과정에서 찾을 수 있다.
    리포트 | 5페이지 | 2,500원 | 등록일 2020.11.14
  • (Jelly) A+ 기초전기전자실험 센서
    제어 모듈을 연결한다.⑩ 모터제어 모듈이 조정 손잡이를 이용하여 스핀들 드라이브의 LOAD를 움직여 LIMIT가 제대로 동하는지 확인하고, 시픈들 드라이브의 LOAD를 초음파센서의 ... 모터제어 모듈을 이용하여 거리를 가변하며 출력 값을 관찰하고 거리릭한 후 DSO 화면에 흰색선 이 나타나는지 확인한다. ... 결과분석 및 토의여러 가지의 로드셀 중 실험은 기둥형 로드셀을 사용하였다.실험결과를 분석해보면 무게가 1kg 씩 증가할때마다 출 받는다.
    리포트 | 19페이지 | 2,500원 | 등록일 2020.04.08
  • SK C&C 응용프로그래머직 합격자소서
    또한 웹서버와 웹소켓서버를 이용해 어느 곳에서든 웹사이트 접속을 통한 스마트홈 제어가 가능하도록 구현했습니다. ... 빠르게 돌아가는 모터와 LED의 깜빡이는 속도를 프로그래밍 해야 합니다. ... 그 결과 교내 프로그래밍 대회(SCON)에서 은상을 받고 전국 대학생 프로그래밍 대회(UCPC)에서 본선 진출을 하는 성과를 거뒀습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.11.22
  • 자동차융합실험 Shock Absorber Test
    즉 주행조건에 따라 ECU가 스텝모터를 이용 기존 Shock Absorber 오일 통로(오리피스)의 크기를 조절함으로써 감쇠력을 제어하는 것이다.일반 주행 때에는 오일통로를 크게 하여 ... 두 경우 모두 속도가 빨라지면 감쇠력이 증가하였다.②더 좋은 결과값이번 실험은 실험 장비문제로 인해 우리가 직접 실험을 해서 결과 값을 얻지는 못하였다. ... 만약 조금 더 정밀한 실험이 가능해서 시간 간격을 줄여 결과 값을 얻어낼 수 있다면 더 좋은 결과 값과 그래프를 얻을 수 있을 것이다.3.장비의 최신화이번 실험에서 사용된 장비는 지그
    리포트 | 4페이지 | 4,000원 | 등록일 2020.12.01
  • 한온시스템(전 한라공조) 합격 자기소개서
    이에 더하여, 제어기의 Switching frequency에 따라 최대로 사용 가능한 모터의 극 수가 결정되기 때문에 모터 설계에 있어 인버터를 이해하는 것은 필수적이었습니다. ... 앞으로 남은 석사 과정 동안 모터 제어에 관련된 전자 회로에 대해 더 깊이 공부할 수 있도록 노력할 것이며 이를 통해 실무를 습득하는 데 있어 스펀지 같은 흡수력을 갖도록 열심히 준비하겠습니다 ... 입사 후 포부저는 석사 과정 동안 영구자석 동기 모터 설계 및 권선계자형 동기 모터 설계를 경험하면서 모터의 특성에 대한 이해 능력을 길렀고 이러한 경험은 인버터 하드웨어 설계 시
    자기소개서 | 3페이지 | 3,000원 | 등록일 2020.11.22
  • 현대오트론 R&D(연구개발)직 합격자소서
    특히, 모터 종류에 따른 제어기를 설계하는 과정에서 제어시스템에 관심을 갖기 시작했습니다.수업에서는 제어이론, C언어, 속도 프로파일 및 jerk 등의 수식을 기반으로 설계한 제어기를 ... 그러나 어려움에 도전하고 극복하면서 작품을 끝까지 완성시켰고, 그 결과 최우수상을 받게 됐습니다. ... 이는 자동차 전자제어를 위해 설립된 현대오트론이 자동차 산업의 중심이 될 것임을 의미하기도 합니다.또한, 저는 제어분야에 관심이 많아서 관련 수업들을 수강하고 RC카, 온습도 제어,
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.12.01
  • 현대자동차 파이롯트 직무 첨삭자소서
    저 또한 제어와 안전에 대한 보다 깊이 있는 연구를 해보고 싶어 현재 무인자동차 연구실에서 제어시스템 설계를 배우고 있습니다. ... 그 결과 국내보다 20% 싼 가격으로 90% 효율에 6.19kW의 (더 높은) 출력을 내는 48V Agni 95R 모터를 살 수 있었습니다.하지만 일이 여기서 해결된 게 아니었습니다 ... 그 결과 합선했으며.
    자기소개서 | 11페이지 | 3,000원 | 등록일 2023.02.03
  • [합격자료] 현대모비스 면접 문제 및 모범답안 87가지
    운전자의 의도와는 다르게 자동차가 움직일 때 각 바퀴를 자동제어하여 악조건의 상황에서도 안정된 자세로 자동차를 운행하도록 하는 안전장치입니다.- MDPS : Motor driven ... power steering의 약자로 기존의 기계적인 유압시스템을 사용하지 않고 차량의 조건에 따라 모터를 고정밀 제어하여 최적의 조향 성능을 제공하는 조향장치입니다.- 에어백 : 차량 ... 출발, 저속시에는 전기 모터를 사용하며, 속도 증가로 큰 구동력이 필요할 때는 엔진과 전기 모터를 동시에 사용합니다.
    자기소개서 | 14페이지 | 12,000원 | 등록일 2020.11.25
  • (합격자소서)만도헬라일렉트로닉스 연구개발
    특히, 전자회로와 제어공학 등은 꾸준히 A학점 이상을 받았기 때문에, 그 결과 성적 우수 장학금도 받을 수 있었습니다.둘 째, 실제 제품에 사용되는 회로에 대해 끊임없이 분석하고 학습하였습니다 ... 그리고 2015 서울모터쇼를 통하여 50여년 전통의 자동차 부품업계 최고인 만도그룹을 알게되었습니다. 기술이 발달하면서 자동차 산업분야는 '미래자율주행시대'로 귀결되고 있습니다. ... 대학 3학년 때, 제어공학 수업을 들으면서 전자·제어 분야에 깊은 관심을 갖게 되었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.12.31
  • 졸업작품 결과보고서
    탱크 차체 크기 2) 아두이노 우노, 모터 드라이브구동 부분을 제어하는 역할로, 185*230*65(mm)의 탱크플랫폼에 아두이노 우노3를 장착하여 구동 부분을 제어해보았습니다. ... 상세설계 (30)계획에 명시된 바대로 결과물과 일치하는가?각각의 practical constraints에 기술된 사항을 만족하는가? ... DC모터 스펙(24V)나) 개념설계 및 상세설계그림4-8.
    리포트 | 22페이지 | 3,000원 | 등록일 2020.07.05
  • 피아제의 인지발달에 대해 설명하고 감각운동기단계와 전조작기단계에 교사가 어떻게 수업현장에서 적용할 수 있는지 사례를 들어 제시하시오
    이론에 따르면, 아동은 센서리-모터 단계, 선행 인지 단계, 구상적 인지 단계, 형성된 인지 단계로 발달한다. ... 전조작기단계에서는 아동들이 자신의 몸을 제어하고, 물체와의 상호작용을 익히는 단계이다. ... 이 단계에서 교사는 아동들이 다양한 물체를 다룰 수 있도록 활동을 제공하고, 아동들의 동작을 지시하면서 자신의 몸을 제어하는 법을 익히도록 돕는 것이 중요하다.
    리포트 | 3페이지 | 2,000원 | 등록일 2023.11.29
  • [경북대학교]기계공학실험 진동실험 실험보고서
    전기 리드를 Excitor Motor 및 속도제어장치의 보조 소켓에 꽂는다. ... 동일한 과정으로 진행한다.⑧ VDAS 소프트웨어 하단의 계산 버튼을 클릭하고 계산 값 및 필요값을 기록한다.2) 실험 2: 강제 진동 실험 (Forced Vibrations)① 동기 모터의 ... 브래킷 C1의 핸드 휠을 빔이 수평이 될 때까지 조정하고 펜 레코더가 기록 용지에 닿는 곳에 차트 레코더를 위치시킨다.② 속도제어장치 구동에 따른 강제진동으로 인해 빔이 움직이게 되면
    리포트 | 20페이지 | 2,000원 | 등록일 2023.11.14 | 수정일 2023.12.14
  • 인하대 전자회로실험1 아두이노 개발환경 및 GPIO 결과보고서 [Tinker cad]
    결 과 보 고 서학 과학 년학 번조성 명실험 제목아두이노 개발환경 및 GPIO기본이론아두이노이탈리아 IDII에서 하드웨어에 익숙하지 않은 학생들을 대상으로 손쉽게 하드웨어를 제어할 ... 소프트웨어이다.코딩(문법)Void setup() : 디바이스 설정에 대한 부분으로 속도, 설정, 입출력을 설정한다.Void loop() : 디바이스 동작에 대한 부분으로 LED의 깜빡임, 모터 ... 1초 단위로 전체 점등 점멸을 반복하는 패턴회로- 코딩- 결과(1초뒤)for문을 이용하여 점등된 LED 위치가 왕복하는 패턴(반복)-회로코딩결과0초 1초뒤 2초뒤3초뒤 4초뒤 5초뒤
    리포트 | 6페이지 | 1,000원 | 등록일 2020.10.19
  • 아주대학교 자동제어실험 6번 실험 / 직류전동기의 전달함수 측정 / 예비보고서
    엔코더 및 전동기의 구동엔코더와 모터의 연결선도실험 장비 구성도엔코더 구동 블록도전동기 구동 블록도1. 전동기는 전동기에 연결된 QUARC와 UPM을 통해 제어할 수 있다.2. ... 실험 결과예상1) 각 실험 결과를 사용하여 그래프를 그려본다.실험에 별 다른 문제가 없다면 당연하게도 위 실험 3의 엔코더 속도 출력 그래프와 같은 파형을 나타낼 것이다. ... (Encoder)Performance26 Poles Magnet 26 P/R헬리컬 기어 타입, 1/50의 감속비정격 토크 6Kg-cm순간 허용 토크 18Kg-cmInstalled Motor
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • 공학 졸업논문입니다
    12[표 6] 결과 평가표 ??????????????????????????????131. 서론 ???????????????????????????????????? ... 입력포트로써 포트D는 내부 풀업이 동작할 경우 외부적으로 풀다운되어야 한다6-3] 회로도[표 4] Program Code책장 제어 코드#include #include #include ... 이를 인지하고 DC모터가 작동하는지를 본다.책을 빼고 난 후 DC모터의 작동 여부를 본다.DC모터가 작동하여 책을 밀어주면 성공3.
    리포트 | 14페이지 | 2,500원 | 등록일 2022.02.16
  • 스포츠심리학 자문자답 30문항
    ① 운동제어(motor control) : 인간은 운동을 하기 위하여 ‘외부 정보수용 →정보처리→수행에 필요한 반응’을 하게 된다.② 운동학습(motor learning) : 일반적으로 ... 신체능력, 외모, 정서상태, 대인관계, 학업능력, 사회적 행동 등 다양한 영역에 대한 평가가 종합적으로 반영된 결과로 생겨남.? ... 칭찬해주지만 시도조차 하지 않은 사람은 비난을 한다.③ 신체적 장애라는 통제 불가능한 조건을 가진 학생이 나쁜 기록을 보인 것도 처벌 받을 가능성이 크다.④ 귀인이론에 따르면 시합의 결과
    리포트 | 9페이지 | 2,500원 | 등록일 2023.03.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:23 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대