• 통큰쿠폰이벤트-통합
  • 통합검색(2,817)
  • 리포트(2,312)
  • 자기소개서(424)
  • 논문(34)
  • 시험자료(27)
  • 서식(9)
  • 방송통신대(9)
  • 이력서(1)
  • ppt테마(1)

"모터 제어 결과" 검색결과 241-260 / 2,817건

  • 부산대학교 제어시스템 예비2 보고서 A+
    이를 결과1에서 구한 전달함수를 사용하여 PID제어기가 적용된 AC서보모터의 이론적인 step응답곡선을 구해보고 P,I,D의 역할을 확인해보았다. ... 실험목적앞 실험에서 구한 AC모터의J/K _{0} ,`C/K _{0}를 사용하여 근 궤적 선도를 그린 뒤, 적당한K _{p} ,K _{D} ,K _{I} 을 찾아 step응답곡선을 ... 실험결과①K _{p}⑴ KP = 100⑵ KP = 200⑶ KP = 300②K _{D} (K _{p} =200)⑴ KD = 21.1⑵ KD = 19.2⑶ KD = 11③K _{I}
    리포트 | 9페이지 | 2,000원 | 등록일 2020.06.08
  • 한국전력공사 전기설비직 합격자소서서
    그래서 DC모터 속도제어기를 납땜을 통해서 만들었습니다. ... 그때 당시 프로그래밍이나 제어에 능숙지 못했습니다. 하지만 노력하면 할 수 있다는 신념 하나로 무작정 달려들었습니다. 하지만 결과물을 완벽히 완성하지 못했습니다. ... 또한 프로그래밍 대회에서 ‘전력 조류 계산’을 C언어를 사용하여 프로그래밍해 보았고, 그 결과 대회에서 입상하는 경험도 해 보았습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2023.09.04
  • A+ 기계공학 응용실험 6. Cantilever Beam의 진동제어 실험 예비 레포트 (예비 보고서)
    개루프 제어의 단점은 외란이 존재하면 시스템 결과값의 신뢰성이 없어진다는 것이다. ... 세탁기의 제조 회사는 댐퍼, 서스펜션, 적절한 베어링, 모터 등을 이용하여 진동과 소음이 저감된 세탁기를 만들기 위해 노력한다. ... 개루프제어와 폐루프제어에 대해서 논하고, PPF진동제어에 어떻게 효과적일 수 있는지 논하시오.개루프 제어 (open loop control)는 시스템의 출력이 입력에 아무른 영향을
    리포트 | 5페이지 | 1,000원 | 등록일 2020.09.11
  • 친환경자동차 및 전기자동차에 대한 조사 보고서
    제어 소자는 전기 에너지를 조절하여 출력을 제어하는 소자로, 제어 소자가 교류 변환을 제어하면 인버터라고 한다.전기자동차의 특징은 주행 중 소음이 거의 없고 환경오염을 일으키지 않는다는 ... 그 결과 전기자동차는 다시 열악한 처우를 받았고, 전기자동차 발전은 저점에 이르렀다.연구 지속기1980년대 이후 자동차 소유가 늘면서 내연차에서 배출되는 유해가스는 인간의 건강과 생명에서 ... 전기모터의 힘으로만 주행하고 전기충전 방식으로 에너지를 얻는 차량이다. EV 배터리로 상용화된 종류에는 납축전지, 니켈-수소전지, 리튬이온전지가 포함된다.
    리포트 | 7페이지 | 3,000원 | 등록일 2023.03.09
  • 부산대학교 기계공학부 기계공학실험1 A+ 선반보고서(2022년 1학기)
    .③ 컴퓨터와 제어판 장비 전원을 키고, 선반 batch파일을 실행시키고, ‘n’을 입력한다.④ CNC 선반프로그램 화면 좌상단 비상버튼을 클릭하여 비상해제하고, 우측에 있는 프로그램상의 ... 스위치를 OFF하고, 비상버튼을 누르고 전원스위치를 OFF한다.⑬ 척을 풀고 가공결과물을 꺼낸다.⑭ 브러쉬를 이용하여 가공 칩을 제거하고 장비를 청소한다.실습결과1. ... 안전을 위해 전원 스위치와 DC모터 스위치가 OFF로 되어있는지 확인하고, 비상버튼을 눌러서 작동시킨다.② 공작물좌표 원점을 맞추기 위해 선반 척을 분해하고 축에 영점센터조립품을 결합한다
    리포트 | 6페이지 | 1,000원 | 등록일 2022.12.11
  • 부산대 어드벤처디자인 결과보고서 1주차 A+보고서 1등보고서
    아두이노는 센서, 스위치 등으로부터 입력 값을 받아들여 LED, 모터 등 다양한 장치의 출력을 제어하여 이를 통해 환경과 상호작용이 가능한 다양한 장치들을 쉽게 제작할 수 있다.아두이노 ... 실험 결과5-1. ... 보드의 입출력 단자에 핀 번호가 프린트 되어 있고, 이를 통해 입, 출력 신호를 제어한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.03.25
  • 디지털공학개론(디지털IC의 기본 특성, 조합논리회로와 기본플립플롭회로)
    회로 안에 기억 기능을 고민한 결과 플립플롭(소자)가 만들어졌다.플립플롭은 1비트 또는 1비트의 바이너리 데이터(이진 데이터)를 기억하는 메모리 소자로 전원이 공급되는 동안 상태 변화를 ... 사용되는 이산 신화 처리하도록 설계- 마이크로프로세서, 메모리 칩, 논리 게이트 등 분야에 활용혼합신호IC- 아날로그, 디지털 신호 처리하도록 설계- 데이터 컨버터, 전원 관리 IC, 모터 ... 사용되는 기억장치- 용도에 따라 누산기, 명령 레지스터, 프로그램 카운터 등으로 구분카운터(counter)- 상호 연결된 여러 개의 플립플롭 조합으로 클럭 펄스가 펄스 수를 세거나 제어
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.16
  • 액액추출 이론
    구동 Motor는 감속장치가 되어있으며 Moteor의 전압 조절로 회전속도를 조절하여 상하 왕복 구동의 속도를 제어하게 된다. ... 결과 정리- NaOH 적정을 이용1) 중액과 경액의 유량변화에 따른 중액의 초산 농도Storke중액의 유량 (mL/min)경액의 유량 (mL/min)시료의 질량 (g)NaOH 부피 ... acetic acid농도를 측정한다.2. pump 1, 2의 유량을 고정시키고(이미 장치에 고정되어 있음), 추출탑 내 의 pump 1(중액펌프)로 위에서 아래로 중액(증류수)를 채우고, 모터
    리포트 | 6페이지 | 2,000원 | 등록일 2022.08.29
  • 2022년~2024년 전자전기공학과, 반도체공학과 면접 최다 기출 질문 모음집 (서울대, 연세대, 고려대, 포스텍, 카이스트)
    예: 자동차 위치 감지, 모터 제어, 전자 나침반.응용 분야:산업 자동화: 로봇 공학, 공정 제어, 품질 관리.의료: 환자 모니터링, 진단 기기, 스마트 의료 디바이스.자동차: 자율 ... 예를 들어, 전기 모터나 유압 액추에이터를 통해 물체를 집거나, 특정 작업을 수행할 수 있습니다.정밀 제어: 액추에이터는 센서로부터 받은 피드백을 기반으로 로봇의 동통해 대륙 간 통신을 ... 프로젝트 결과물이 실제로 어떻게 활용될 수 있는지 설명해 주세요.이 프로젝트가 사용자나 비즈니스에 어떤 가치를 제공하는지 이야기해 주세요.프로젝트 결과물을 상용화하거나 배포할 계획이
    자기소개서 | 6페이지 | 4,000원 | 등록일 2024.06.11
  • 인하대학교 기계공학실험 A 복합
    12021년도 2학기기계공학실험 A< A-8 복합재료 실험 >ReportA-1A-2A-3A-4인장 및 충격실험좌굴 및 크리프실험진동 실험동역학 실험A-5A-6A-7A-8도립 진자 및 능동진동제어모터제어금속재료복합재료1 ... 최고점을 통해 구했다.3) [0/90]s, [0/90]ns의 각각 x-axis, y-axis 비교 분석우선, [0/90]s와 [0/90]ns 모두 x-axis와 y-axis에서의 결과값 ... 사용되었다.실험을 통해 적층반복패턴의 대칭성 유무, 이방성에 따른 x-axis와 y-axis값의 차이를 확인하는 등 복합재료의 여러 특성을 알 수 있었다.실험에서 아쉬웠던 점은 결과값에서
    리포트 | 5페이지 | 2,500원 | 등록일 2022.01.03 | 수정일 2022.01.07
  • 현대자동차 연구개발 상용차개발직무 합격 자기소개서
    고관절과 무릎 부위에 motor를 사용하여 걸음을 돕는 하지 외골격 로봇을 개발하는 것이 목표였습니다. 이를 위해 모터 제어, 전기 전자 분야와 같은 지식이 필요했습니다. ... 팀원들과 스터디를 하여 해당 지식을 쌓았고 조금씩 코딩해보며 로봇을 거치대에 놓고 실험하여 모터 제어에 성공하였습니다. 또한, 부족한 예산도 난관이었습니다. ... 도전을 즐기게 된 계기로 열정적인 팀에서 팀원들과 어려움을 극복하였던 경험이 있습니다.졸업 논문 주제로 linear motor를 이용한 lower limb exoskeleton robot
    자기소개서 | 4페이지 | 5,000원 | 등록일 2023.01.29 | 수정일 2023.01.31
  • 부산대학교 기계공학부 제어시스템 실험 결과보고서
    결과보고서 1과목분반학과학번이름제출 일자1. 실험 목적파라미터를 측정하기 위해 스텝응답곡선을 이용하고, AC 서보모터 비례제어 시스템의 전달함수를 구한다.2. ... 이는 시스템 자체의 노이즈나 AC 서보 모터에 이물질이 있는 등 값에 방해를 주는 요인들에 의해 오차가 발생한 것이다.그리고 실험결과 2)와 3)에서는K _{p} 값에 따른{J} over ... 200일 때 2.2084라는 값이 나왔고 그 외의 경우에서는 1이하의 값들이 나왔다.K _{p}=100일 때의 값이 다른 값들에 비하여 특히 높은 것으로 나타났는데 실험을 진행했을 때 모터
    리포트 | 9페이지 | 1,000원 | 등록일 2022.01.12
  • 전기기기실험1 직류 전동기 속도 특성 시험
    실험장비 및 실험조건○ 실험장비: 전류계, 전압계, 인덕션 모터, 타여자 전동기, 직류 분권 발전기, 타코미터, 전류 전압 계측기, 계자 저항 변동기, 전압 변동기, 목장갑○ 실험조건 ... 실험 목적1) 직류 전동기의 속도 특성시험2) 계자제어, 전압제어에 따른 속도 관계에 대한 연구2. ... 결과 및 고찰1) 실험으로 인해 취득한 지시값을 표로 정리하고, 그래프로 나타낸다.V _{DC}I _{DC}NI _{f}100.05173.11.6200.15396.71.6300.22560.61.6400.29835.31.6500.38952.21.6500.371021.01.4500.381150.11.2500.3751173.41.0500.371369.30.8500.3681568.90.6
    리포트 | 6페이지 | 5,000원 | 등록일 2020.10.25 | 수정일 2022.01.04
  • [A+] 전자파환경공학 전자파 사례 레포트 과제
    해결방법으로 VVVF 모터에 EMI필터를 적용하고 필터의 샤시를 직접 점지하였으며, 모터 케이블에 쉴드를 적용시킴 2. 100만대 리콜을 발생 시킨 토요다 자동차의 에어백 EMI문제 ... 일본 정부는 기술센터 등의 조사결과를 관련 학회지 등에 게재했으며, 올 1월부터 전동 휠체어 성능을 규정하는 일본공업규격에 전자파나 정전기에 대한 내성 실험 항목을 추가했다. 13. ... 가속 및 감속을 위한 제어 프로그램에는 일부 부적절.
    리포트 | 5페이지 | 1,500원 | 등록일 2023.07.27
  • [명지대 기공실]2Link-Planar 로봇의 제어 결과레포트
    2-Link Planar 로봇의 제어 결과 : 최땡땡 교수님[1] 서론이 실험은 2-Link Planar 로봇의 제어를 확인하는 실험이다. ... , 정기구학과 역기구학을 통한 제어방법을 학습하는 것이 이번 실험에서의 목적이다. ... 실험에 사용한 로봇은 이름에서 알 수 있듯, 2 조인트와 2 링크로 이루어져 있으며, 유성기어와 사이클로이드 기어를 액츄레이터로 사용했다.DC모터와 와이파이 통신을 통해 로봇을 작동시켜
    리포트 | 2페이지 | 10,000원 | 등록일 2020.12.12 | 수정일 2021.10.15
  • 초경량비행장치(드론)교관시험 예상문제5
    다음 중 기체의 기울기제어 x,y,z 축에 관성력을 부여함으로써 미세한 외부교란에 대하여 관성력을 유지시켜 주는 장치는? ... 정답 : ②① 지자계센서와 자이로 센서는 흔들리지 않게 고정을 한다.② 프로펠러는 양력을 높이기 위해 금속으로 만든다.③ 모터는 BLDC 모터를 사용한다.④ 비행시 배터리는 완전 충전해서 ... 정답 : ②① 알콜 테스트 결과 기록은 3년간 보관한다.② 해당업무에 종사한 경우라도 사고와 관련이 없으면 알콜 테스트를 생략할 수 있다.③ 검사정보는 관계기관에 제공되어 법적 절차의
    시험자료 | 4페이지 | 5,000원 | 등록일 2021.08.13
  • LS 산전 자동화 제품설계 자기소개서
    이 과정에서 모터제어와 인버터 등의 분야에서 최적화 설계 시스템을 구축해나가고 있으며, 이는 제가 나아가고자 하는 방향과 일치한다는 것을 깨달았습니다.이와 같이 자동화 제품 설계의 ... /SW 설계를 경험하고자, ‘한국철도기술연구원 추진시스템연구팀’ 및 ‘현대위아 전장개발팀’에서 인턴 생활을 했었습니다.철기원에서는 매주 전력 전자 및 모터 제어에 대해 세미나를 진행한 ... 그 결과 대회에서 유일하게 적정 기술을 개발했다는 극찬과 함께, 1120만원의 지원금을 받아 베트남으로 7박 8일 동안의 프로젝트를 진행할 수 있었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.04.21
  • 자동제어 보고서 병아리 부화기
    농촌진흥청의 조사결과에 따르면, 수도권 성인 남녀 1천명을 대상으로 조사한 결과, 2명 중 1명이 주 1회 닭고기를 소비 할 정도로 국내 닭고기 소비량이 계속해서 늘어나고 있는 추세입니다 ... 로보티즈드림을 이용해 더 큰 전란을 시도하고, 기존과는 달리 모터를 사용하지 않고 XL-320 이라는 비교적 소음이 적은 모터를 달아 소음을 줄여보겠습니다.2분석특성파악대상시스템에 ... 각각 0를 넣으면R _{t 설계한 알고리즘도 감지기를 이용한 되먹임 제어에 기반을 두고 있으니, 기반을 두는 제어기법은 되먹임 제어가 되겠습니다.3설계문제설정제어기설계제어목표를 정하고
    리포트 | 23페이지 | 3,000원 | 등록일 2020.06.09
  • [환경부] 자동차배출가스 (인증, 인증생략) 신청서
    자동차배출가스 시험결과 보고에 관한 서류 1부마. ... 축전지, 충전기, 모터 및 제너레이터 부품의 보증에 관한 사항 1부(전기자동차의 경우에만 제출합니다)자. ... 축전지, 모터 및 제네레이터 부품의 보증에 관한 사항 1부(수소연료전지자동차의 경우에만 제출합니다)2. 인증생략신청의 경우가.
    서식 | 2페이지 | 무료 | 등록일 2023.03.14
  • 아두이노
    아두이노 개념아두이노는 마이크로 컨트롤러 기반으로 만들어진 소형 보드로서 다양한 스위치나 센서로부터 입력 값을 받아들여 LED나 모터와 같은 전자 장치들로 출력을 제어함으로써 다양한 ... 아두이노 실습사례 연구(1) 실습목표(2) 실습준비물(3) 실습과정(4) 실습결과(5) 느낀점8. 아두이노에 대한 나의의견1. ... 소프트웨어를 기반으로 한 오픈 소스 전자 플랫폼이다.”하드웨어와 소프트웨어를 기반으로 했다는 것은, 아두이노 보드 같은 하드웨어 장치가 있고, 그 하드웨어 장치를 소프트웨어를 이용해서 제어
    리포트 | 6페이지 | 3,500원 | 등록일 2022.07.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:19 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대