• 통큰쿠폰이벤트-통합
  • 통합검색(13,329)
  • 리포트(11,766)
  • 시험자료(685)
  • 자기소개서(318)
  • 방송통신대(257)
  • 서식(183)
  • 논문(98)
  • ppt테마(17)
  • 이력서(4)
  • 노하우(1)

"설계 일정표" 검색결과 261-280 / 13,329건

  • 기계공학 피로 실험 보고서
    피로 하중은 일정한 진폭을 가지는 일정 진폭 하중과 시간에 따라서 하중이 변화하는 변동 진폭 하중이 있다. ... 실험에서는 실험 기계와 재료로 통하여 인위적으로 진행하였지만, 실질적으로 기계 재료에서 피로 파괴 발생원인은 설계 불량, 가공 불량, 소재 불량, 부적절한 사용으로 인하여 기계 설계시 ... 실험에서 얻은 회전수를 표에 대입하고 하중으로 인한 Bending Moment와 Bending stress을 구해보았다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.06.15
  • 사회복지 프로그램 개발의 개념과 자료수집방법
    프로그램설계에는 목적, 목표, 활동 내용, 운영조직, 인력배치, 예산, 관리계획, 평가계획, 총괄계획표 등이 포함된다. ... 둘째, 프로그램설계로 프로그램이 실행될 수 있도록 지침이 되는 기본 틀을 짜는 것이다. ... 장기적이고 체계화된 일정 계획 아래 지속적으로 제도화된 프로그램이어야 하고, 다양한 성격의 서비스 기관들이 참여할 수 있도록 해야 한다.다섯째, 지역성(Place)이다.
    리포트 | 4페이지 | 1,500원 | 등록일 2024.03.18
  • 한국어 교재의 교수요목 분석 보고서(여러 한국어 교재 중 하나를 선정하여 단원 구성표를 분석한다. 이를 통해 수업 방식과 교재 구성에 대해 구체적으로 기술한다.)
    한국어교육과정 및 교수요목설계한국어 교재의 교수요목 분석 보고서(여러 한국어 교재 중 하나를 선정하여 단원 구성표를 분석한다. ... 이를 통해 수업 방식과 교재 구성에 대해 구체적으로 기술한다.)교재명: "한국어 회화 교재 - 일상 생활에서 활용하기"단원 구성표:인사하기자기소개: 자신의 이름, 국적, 나이, 직업 ... 계획 세우기: 여행을 위한 일정을 계획하고 표현하는 방법을 연습합니다.쇼핑과 물건 구매하기쇼핑 목적과 취향 표현: 쇼핑할 때의 목적과 자신의 취향을 표현하는 표현을 배웁니다.가게에서
    리포트 | 3페이지 | 4,000원 | 등록일 2023.07.25
  • 소프트웨어 개발의 모든것
    소프트웨어 개발의 모든 것Part1 소프트웨어 개발의 기초# 소프트웨어 프로젝트 팀의 역량 평가표소스코드 관리시스템전사적으로 소스코드 관리시스템을 딱 하나만 사용하고 있다.모든 소스코드 ... 프로세스변경관리 위원회에서 일정 변경 요청 검토 및 승인프로젝트 관리자는 일정 등록 및 일정 평가프로젝트 팀원은 일정 확인 및 일정 수행/갱신 그리고 변경 일정 확인사람인재 확보인재 ... 반복하여 완성도를 높여가는 일요구사항의 중요성잘못된 요구사항은 많은 재작업 비용을 필요로 함(전체 개발 비용의 30~50% 비중 / 요구사항 오류는 70~85%)간결하지만 충분히 설계
    리포트 | 39페이지 | 2,500원 | 등록일 2023.06.18
  • 사회조사분석사 필답형 2장 이내로 요약 및 축약 자료!!!
    설명조사, 대상 다수, 서베이 등★ 횡단연구와 종단연구횡단연구 : 일정시점, 모든 관련변수 조사(표본조사, 측정 한 번, 정태적, 표본크기 큼)종단연구 : 연구대상 하나, 일정기간, ... (진실험설계) : 실험적 조건, 실험실★ 유사실험설계 (준실험설계)개념 : 실험적 조건 한 두 가지 결여장점 : 실제상황, 일반화 가능, 이론적 검증 및 문제해결 유용단점 : 무작위화 ... 실험 후 효과 측정, 일반화x(2) 단일집단 사전사후실험설계 : 사전검사 후 독립변수 도입 및사후검사 (실험설계 용이, 단순관계 파악 용이 but 외생변수 통제 불가, 내?
    시험자료 | 3페이지 | 3,000원 | 등록일 2020.11.17
  • 신뢰성공학 자전거(기능부구성,신뢰성분석,FMEA,FTA,수명시험,내환경성시험,와이블분포,신뢰성블록도)
    추진일정3. 팀 프로젝트 대상품3.1 주제 선정 배경3.2 대상품/제품/부품3.3 작동 종류 및 작동 방법4. ... 공차가 고려되지 않은 부품 설계5.2 설계 FMEA 작성에서 주요 기능 및 잠재적 고정 형태의 정의 (브레이크)FMEA NO설계책임구분일자주요개정내용작성검토승인양산제품완료예정일양산적용일상호기능 ... 6를 만족하여야 한다.제동 거리는 표5 를 만족 하여야 한다.참고문헌 ?
    리포트 | 31페이지 | 2,000원 | 등록일 2020.12.29
  • 한양대학교 기계공학부 재료 및 생산 가공 실험 비틀림시험 레포트
    재료 및 생산 가공 실험2 레포트 (3주차 비틀림 시험)서론배경기계구조물 설계는 기능설계, 최적설계 및 강도설계로 대별되며, 특히 강도설계에 있어서는 재료의 기계적 특성인 강도 및 ... 핸들을 일정한 속력으로 돌려야지만 시편에 다른 외력이 작용하지 않고 시편에 걸리는 T값만 측정할 수 있을 것이다. ... 하지만 사람이 핸들을 돌리기 때문에 일정한 속력을 가지지 않고 가속 운동하게 되며 실제 T값보다 크게 측정되었을 것이라 생각된다.
    리포트 | 33페이지 | 3,000원 | 등록일 2023.11.11
  • 05-306-Q, RFQ 진행 관리 지침(표준,자동차산업)
    SR기준 고객사 기술검토 요청사항이 있을 경우 기술사양제안서 내에 포함하도록 하며, SR요구사항은 체크리스트로 검토한다.4.7 자료접수 및 견적작성1) 각 팀별에서는 협조전(3-3항 표 ... 시 견적제출일정을 고려하여 부서별로 탄력적으로 일정을 협의하여 수립하되, 그 일정을 반드시 지켜야 한다.4.3 RFQ 자료발송1) 관련 팀에 업무협조전을 발송한다.2) 업무협조전 ... 업체로 제시하는 사양 및 제원SOR(Statement of Requirements)부품에 요구되는 성능과 사양을 평가하여 설계에서 업체로 제시하는 사양 및 제원입찰결과통보서구매에서
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.01
  • 기계적 성질Test (인장시험)
    이러한 기초단위에 대한 정의 , 공식 , 환산치를 아래 표에 나타내었다 . ... . - 일반적인 시험의 목적을 열거해 보면 다음과 같다 . ① 개발 : 신제품 또는 신재료 개발의 기준자료 ② 설계 : 설계에 필요한 기초자료 ③ 적합성 검토 : 구조물이나 기계부품에서 ... 일정 간격으로 표시한다 (5mm 간격 ) ( 다 ) 네크부 지름을 측정한다 .
    리포트 | 20페이지 | 5,000원 | 등록일 2020.12.18 | 수정일 2020.12.22
  • LIG넥스원 HW 최종 합격 자기소개서(자소서)
    역량을 쌓았습니다. 2 stage Op Amp 설계 프로젝트에 Cap, Tr 등의 변수별 파라미터를 표로 정리,분석하여 65도의 Phase Margin을 확보하면서 rising시 ... 저 또한 힘든 일정 속에서도 별도로 시간을 내어 동시에 두 개 이상의 활동을 진행하여 한 쪽이 소홀해지는 일 없이 양쪽 모두 목표를 달성한 경험이 있습니다.먼저 매 학기 20학점 이상의 ... 회로 관련 6개 전공과 설계 프로젝트 경험들을 통해 쌓은 역량이 임베디드HW를 설계, 검증하여 안정성과 효율성을 갖춘 무기체계 개발에 필요하다고 확신합니다.첫째, 디지털논리회로1,2
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.02.17
  • 7장 순차논리회로 설계 및 구현(1) 예비
    이 장의 실험 목적에 대해서 스스로 생각해 보고 기술하라.☞ 상태도를 이용해 상태표를 만들 수 있고, 논리식을 유도한 다음 회로를 설계한다. ... [그림 7-1] 4상태를 가진 상태도일반적으로 상태로부터 순차회로를 설계하는 방식은 다음과 같은 절차를 갖는다.① 상태도를 해석한다.② 상태도로부터 천이표를 구한다. ... 따라서 클럭의 상승 에지 시점에서는 플립플롭의 동기식 입력신호 값이 변화해서는 안되며, 실제로 모든 플립플롭들은 상승 에지 시점 직전과 직후의 일정시간동안 플립플롭의 동기식 입력신호
    리포트 | 10페이지 | 1,000원 | 등록일 2021.01.06
  • [A+] 직류 전원 장치 및 멀티미터 사용법
    출력전류에 따른 전압의 변동을 가능한 작게 하도록 특별히 설계된 전원 장치를 정전압 전원 장치라 한다. ... 이상적인 직류 전원 장치의 출력특성은 그림 2.1(a)와 같이 출력되는 전류에 관계없이 일정한 전압을 유지한다. ... -전압 출력 단자를 VSS라 칭한다).4) VDD와 VSS 단자 사이의 전압을 멀티미터로 측정하여 표에 기록하시오.4.2 저항 측정1) 제시된 저항에 표시된 색을 표에 기록하고 멀티미터의
    리포트 | 3페이지 | 1,500원 | 등록일 2023.09.14
  • 소개서 템플릿 - MC ㆍ마이크 (A4 비율, 19p)
    OOOOOOOOOO추천  사전 비용 협의 ( 행사 규모 , 지역 및 진행 시간 , 숙식 및 이동수단 제공 여부 , 기타 사항 등에 따른 비용 산정 ) #2 섭외 확정 행사 기본 자료 전달 - 행사 일정표 ... 분석 사회 및 이슈 분석 시장 및 타겟 분석 시기 및 지역 분석 방향 및 목표 설정 테마 및 컨셉 개발 전략 및 계획 수립 플래닝 및 디렉팅 장소 답사 및 예행 업체 협업 및 분업 설계 ... 및 제작 관리 생산 및 품질 관리 홍보 및 유치 관리 섭외 및 교육 관리 조율 및 예약 관리 일정 및 예산 관리 구조 및 장치장식 / 제작 및 출력 시공 및 관리 / 철거 및 폐기
    ppt테마 | 19페이지 | 1,500원 | 등록일 2023.12.31
  • 서울시립대학교 전전설3 3주차(1) 결과레포트(설계 성공적, A+, 코로나로 인한 시뮬레이션 실험, 하지만 이론 주석 깔끔)
    Purpose of this Lab이번 실험에서는 Op-amp를 활용해 반전 증폭기를 설계하여 이득 회로를 설계해본다. ... 회로도는 위 표의 반전 가산기 모델에서 n이 3인 경우로 가정하고 만들었다. ... 이번 실험을 하면서 가장 중요한 포인트를 꼽자면 3가지를 말할 수 있다.첫번째로 이득에 관한 식의 형태가 일정하다.
    리포트 | 10페이지 | 1,500원 | 등록일 2021.03.20
  • 전기공학실험1 6장 제너 다이오드의 특성 결과레포트
    전압의 전력을 고르게 공급하도록 설계된 장치이다.제너 다이오드를 사용하여 전압 레귤레이터의 역할로 사용하였을 때 전압이 항복전압보다 높을 때 자체적으로 전류를 흐르게 하여 외부저항에 ... 전압 Vout이 일정하게 유지된다. ... 그림 6-5와 같은 병렬 레귤에이터의 전원전압 V(AA)를 일정하게 하면 Zener diode는 부하전류 I(L)이 변하더라도 회로전류 I(T)를 일정하게 유지한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.12.02
  • 프로그램을 기획함에 있어 프로그램이 왜 필요한지를 논하고 기획과정에 대해서 논하여보자
    다음과 같다.1/ 절대적 목표와 상대적 목표- 절대적 목표 : 프로그램이 지향하고 있는 욕구, 문제, 위험이 완전히 해결된 경우- 상대적 목표 : 절대적 목표의 일정부분을 성취하고자 ... [예] 사업명 : 따돌림 당하는 장애학생을 위한 사회통합 증진 프로그램[표] 대상자구분과 산출근거5) 목표설정어떤 바람직한 결과를 기대하는가? ... ) 대상자 선정(1) 일반집단(2) 위험(위기)집단(3) 표적집단(4) 클라이언트 집단5) 목표설정6) 프로그램 개발과정에서 목표의 위치(1) 문제파악 및 확인단계(2) 프로그램 설계단계
    리포트 | 9페이지 | 8,500원 | 등록일 2024.03.14
  • 건축기사 실기 기출문제(2013~2023)필답형 요약 정리
    창호재료의 종류 및 기호를 참고 하여 창호기호표 표시[창호기호표 표시]2. ... 철골공사에서 활용되는 표준볼트장력을 설계볼트장력과 비교 설명=> 설계 볼트 장력이란 고력 볼트 내력 산정시 허용전단력을 정하기위한 고려값이고, 표준 볼트 장력은 설계 볼트 장력에 10% ... 진도관리에 사용되는 S-Curve(바나나 곡선)는 무엇을 표시하는데활용되는지를 설명 => 공사일정의 예정과 실시상태를 그래프에대비하여 공정진도를 파악하기 위함4.
    시험자료 | 38페이지 | 5,000원 | 등록일 2024.04.09
  • 통신 소방 감리용역 분기 또는 최종 보고서
    현장작업반 조직표 2. 해당 시공계획서의 시공범위3. 작업방법 4. 가시설물 설치계획5. 자재반입, 동원장비, 인력투입계획 6. 작업일정표7.시공상세도 8. ... 및 진행사항 파악소방- 설계도서 검토 협의 (실시설계사항)- 현장 점검 및 진행사항 파악3-3. ... 기술검토- 설계도서 검토 진행 (도면, 시방서, 계산서등)- 착공계 접수 검토 예정- 설계도서 검토서 접수 검토 협
    리포트 | 10페이지 | 2,500원 | 등록일 2022.06.30
  • 유도성 부하의 역률보상 회로 및 제어기 설계 결과 발표 PPT
    상세 설계 및 최종 회로도 02. 설계 과정 및 결과물 03. 데모시나리오 04. 트러블 슈팅 05. 예산 / 일정 및 역할 분담 06. Q Aㅊ 01. ... 데 모 시 나 리 오 보상전 보상후ㅊ 결과물 시연 비교표 03. ... 100Ω 0.6 1 10 6 VA 6 4 W 0.9 1 7 2 VA 65 W 역률 오차 ( 보상 기준 ) 2 00Ω: 3%, 150Ω: 3%, 100Ω: 8%ㅊ 기능 및 성능 달성 점검표
    리포트 | 39페이지 | 10,000원 | 등록일 2022.01.07
  • WTO 무역관련 지적재산권 협정
    대하여는 일정한 크기의 반도체 위에 회로를 배열하는 배치설계 자체는 물론이고 배치설계가 결합된 집적회로(IC) 또는 이를 내장한 제품을 보호대상으로 하고 있다. ... 서비스표 ? 상호 및 기타의 명칭, 부정경쟁에 대한 보호 등에 관련된 권리, 그 밖에 산업 ? 과학 ? ... 따라서 집적회로의 배치설계를 불법으로 복제하거나, 또는 이렇게 복제된 집적희로를 내장한 제품을 상업적 목적으로 수입, 판매 또는 배포하는 행위는 금지된다.
    리포트 | 3페이지 | 2,000원 | 등록일 2024.07.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:40 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대