• 통큰쿠폰이벤트-통합
  • 통합검색(2,453)
  • 리포트(2,307)
  • 시험자료(92)
  • 논문(22)
  • 자기소개서(14)
  • 방송통신대(14)
  • 서식(2)
  • ppt테마(2)

"Decoder" 검색결과 281-300 / 2,453건

  • 부경대 컴퓨터공학 컴퓨터네트워크 계산기서버 만들기, 와이어샤크
    (strings)print(num2)result = num1 * num2print(result)conn.sendalngs = data1.decode("utf-8")num1 = int ... ("utf-8")num1 = int(strings)print(num1)data2 = conn.recv(1024)strings = data2.decode("utf-8")num2 = int ... ("utf-8")num1 = int(strings)print(num1)data2 = conn.recv(1024)strings = data2.decode("utf-8")num2 = int
    리포트 | 17페이지 | 5,000원 | 등록일 2021.01.14 | 수정일 2023.06.23
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(결과) / 2021년도(대면) / A+
    Result of this lab(1) [실습 1] 2:4 Decoder를 설계하시오.Source codeTestbenchPin testbench 시뮬레이션 결과 설계한 2:4 Decoder의 ... 실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기 ... - N비트의 바이너리 값을 2^N가지의 신호 중의 하나로 출력하는 로직- Encoder의 반대 로직임.(4) 3X8 Decoder- 3개의 입력선과 8개의 출력선을 갖는 디코더(5)
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 기초실험 7segment 결과보고서
    7 Segment & Counter 결과 보고서실험 목적BDC -to-7 Segment Decoder와 7 Segment LED를 연결한다. ... Decoder에 0000부터 1111까지 차례대로 Binary Code를 입력하고 실험을 통해 7 Segment LED 발광 상태를 확인한다. ... 실험을 수행할 회로도를 그리고 실험 결과를 바탕으로 7 Segment를 구성하는 Decoder와 LED 각각의 기능과 동작특성을 논의한다.4-bit Decade Counter를 활용하여
    리포트 | 26페이지 | 2,000원 | 등록일 2022.04.23
  • 충북대 기초회로실험 Multiplexer 가산-감산 예비
    .(2) Enable 단자가 있는 2 X 4 decoder를 1 X 4 demultiplexer로 변환시켜라.2 X 4 decoder의 Enable 단자를 입력으로, 2 X 4 decoder의 ... demultiplexer 회로를 비교, 설명하라.Decoder는 입력에 따라 출력을 결정하는 회로이고 demultiplexer는 입력된 데이터를 제어입력으로 출력선을 결정하는 회로이다 ... 발생시키는데 사용되고, 다른 하나는 자리빌림을 발생시키는데 사용된다.BACiSubtractBorrow0000000111010100110010011101011100011111예비과제(1) Decoder
    리포트 | 3페이지 | 1,500원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • 컴퓨터구조 CPU설계_Quartus 설계_2024
    그 위 3 bit가 3to8 Decoder에서 D 신호가 되어 나온다. ( D0~D7 )가장 상위 bit는 주소 모드를 나타내는 I bit가 나온다.명령어를 수행할 타이밍은 4-bit ... sequence counter ( SC )에서 0부터 숫자를 세기 시작해 4to16 Decoder에서 T 신호=타이밍 펄스가 되어 나온다. ( T0~T15 )기본 컴퓨터의 모든 플립플롭과 ... 구성으로 내부에 레지스터들이 구성되어 있다.SRAM에서는 프로그램을 보관 중이고 사용될 프로그램은 IR로 이동한다.IR 밑에 명령어 분석 회로 연결되어 있어 해석을 진행하는 것이 Decoding
    리포트 | 17페이지 | 3,000원 | 등록일 2024.06.01
  • 시립대 전전설2 A+ 8주차 예비레포트
    예상결과1) 실습 12) 실습 2fnd_decoder.vtb_fnd_decoder.vSimulation3) 실습 3fnd_array.vtb_fnd_array.vSimulation4) ... 경우, seg_com과 seg_data 모두 초기화2) [실습 1] ~ [응용과제]의 Verilog 코드를 작성하고 시뮬레이션(실습 1 제외)까지 수행하시오.실습 1실습 2fnd_decoder.vtb_fnd_decoder.vSimulation실습 ... (추가로 필요한 변수들은 각자 정의하여 사용) (시뮬레이션 및 장비 검증)앞의 [실습 2]에서 작성한 fnd_decoder()를 module instantiation으로 사용할 것.동작
    리포트 | 27페이지 | 2,000원 | 등록일 2024.09.08
  • 충북대 기초회로실험 인코더와 디코더 회로 예비
    사용방법을 익힌다.이론(1) 디코더(Decoder)디코더(Decoder)는 코드화된 입력을 출력으로 변환하는 다중-입력, 다중-출력 논리회로이다. ... 인코더와 디코더 회로(예비보고서)실험 목적(1) Encoder와 Decoder의 기능을 익힌다.(2) 부호변환 회로의 설계방법을 익힌다.(3) Seven-segment(숫자표시기)의 ... 디코더는 조합회로로서 n개의 binary 입력신호로부터 최대2 ^{n}개의 출력신호를 만들 수 있다. 2선-4선 decoder 회로는 2진수 입력 BA의 4가지 조합의 부호들을 받아
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10 | 수정일 2021.09.15
  • [A+][예비레포트] 중앙대 아날로그 및 디지털 회로 설계실습 12. Stopwatch 설계
    이용해 회로를 완성하였다. 2자리 숫자 표시 회로와 3자리 숫자 표시 회로는 BCD 10진 카운터, Decoder, 7-segment를 각각 2개, 3개 추가해주면 구성할 수 있다 ... GND 연결 등의 기본적인 연결은 표시할 필요 없이 주요 부품과 주요 결선 부분만 표시하시오.Function Generator를 이용해 클락 신호를 만들어주었고 BCD 카운터 소자와 Decoder
    리포트 | 1페이지 | 1,000원 | 등록일 2022.04.08
  • [A+]중앙대학교 아날로그및디지털회로설계실습 Stopwatch 설계 예비보고서
    크게 BCD to 7 Segment decoder 와 BCD 카운터, Binary 카운터 두 가지만 정리하겠다.BCD to 7 Segment decoder디지털 회로의 출력은 대게 ... 2진수로 표현되어 있으므로 이를 10 진수 방식인 7 Segment LED로 바꾸어 주기 위해서는 Decoder가 필요하다. 0부터 9까지의 10개 숫자를 나타내기 위해서 4개의 입력
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.02
  • 컴퓨터구조 과제17 Tri-state버퍼의 원리와 버스 활용
    이때, decoder에서 선택을 받는 경우 즉 enable 신호가 1이고 decoder에서 해당 포트 출력이 1인 경우에만 값을 출력한다. ... A 신호는 레지스터와 B 신호는 decoder와 연결한다. ... B가 1일때만 출력 F가 0, 1 값을 갖고 enable이 0인 경우는 선은 연결되어있지만 전류는 흐르지 않는 High-Impedance 상태 유지) enable이 1이고 해당포트 decoder
    리포트 | 2페이지 | 1,000원 | 등록일 2021.04.04
  • 연세대학교 기초디지털실험 3주차 결과레포트 (combinational logic)
    ObjectiveThe purpose of this experiment is to implement RGB decoder and LED DEMUX, which corresponds ... In this experiment, the output in the 3-to-8 decoder's information corresponds to various colors that ... to the combinational logic circuit.Decoder is a circuit that takes n-bit binary code as input and converts
    리포트 | 10페이지 | 5,000원 | 등록일 2021.08.18 | 수정일 2022.12.15
  • 매트랩에서 FFT하기, FFT복조하기, 노이즈 추가하기, SNR구하기
    : Error Correction, Syndrome Decoding12월 02일 일요일 07:40~08:33분까지 학습두 번째: Lecture 7: Viterbi Decoding: ... Error Correction, Syndrome Decoding12월 02일 일요일 09:10~10:07분까지 학습B. ... 번째: Lecture 6: Convolutional Codes 12월 01일 토요일 19:10~20:07분까지 학습2. lecture 7첫 번째: Lecture 7: Viterbi Decoding
    리포트 | 10페이지 | 5,000원 | 등록일 2019.11.17
  • 디시설, 디지털시스템설계 실습과제 7주차 인하대
    4_to_16 Decoder그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과그림 SEQ 그림 \* ARABIC 2 : wave form(가로로 캡쳐가 길어서 확대하면 잘 보입니다 ... .)그림 SEQ 그림 \* ARABIC 3 : 모듈코드 그림 4 : 테스트 벤치코드결과분석 및 고찰이번 과제에서는 loop문을 사용해 4_to_16 Decoder를 설계했다. ... 우선 input으로 enable신호를 선언해 주었고, 4비트 input binary_in과 16비트 output decoder_out을 선언해 주었다. i는 integer(32비트)
    리포트 | 3페이지 | 1,500원 | 등록일 2021.08.31
  • 울산대학교 디지털실험결과24 디지털 조합 논리회로와 순서 논리회로
    실험 결과(1) 조합회로스위치 0001일 경우 decoder에 표시되는 값 1스위치 0100일 경우 decoder에 표시되는 값 4스위치 0111일 경우 decoder에 표시되는 값 ... 먼저 첫 번째 실험은 7-Segment 발광 다이오드와 Decoder를 통해 조합회로를 진행하는 실험이다. ... 회로를 구성하여 브레드보드의 스위치의 입력에 따라 decoder에 출력되는 값을 통해 입력 스위치 0000부터 1111까지 설정을 하면 0~9까지는 물론 벗어나는 숫자까지 이론과 동일하게
    리포트 | 2페이지 | 2,000원 | 등록일 2021.03.20
  • 디코더, mux, Comparator, 4비트 감가산기
    내 용 :1) Decoder코드 형식의 2진 정보를 다른 코드 형식으로 바꾸는 회로가 디코더(decoder)이다. ... 제 목 : Decoder, Mux, Comparator, 4bit-adder-subtractor2. ... 다시 말하면, 2진 코드 를 해독(decoding)하여 이에 대응하는 1개의 선택 신호로 출력하는 것을 말한다.디코더는 컴퓨터의 중앙처리장치내에서 번지의 해독, 명령의 해독, 제어
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • AI 음성인식 - End to End 음성인식 시스템에 대한 심화이해
    (Decoder) 모델의 첫 번째 특징으로는 Pyrimidal Bidirectional LSTM을 사용했다.이전 레이어의 2i, 2i+1 번째 시퀀스를 Concatenate하여 다음 ... 것은 굉장히 혁명적인 일이였다고 한다.본 논문 이후 Speech 분야는 CTC와 LAS로 나뉜다고 한다.모델의 전체적인 구조는 Listener (encoder) 와 Speller (decoder ... Exposure Bias for Neural Language Generation」논문에서는 이런 노출 편향 문제가 생각만큼 큰 영향을 미치지는 않는다는 연구 결과를 냈다고 한다 )Decoding본
    리포트 | 4페이지 | 1,500원 | 등록일 2023.04.30
  • u Processor 설계
    코드 및 설명출력 결과Decoder---------------------------------------------------------p.8Decoder 란? ... 코드 및 설명 (Instruction Decoder, 2x4 Decoder)출력 결과Register---------------------------------------------- ... Decoder의 입력과 출력의 개수는 출력의 개수 의 관계를 가지고 있다.
    리포트 | 18페이지 | 2,000원 | 등록일 2020.10.05
  • 실습 12. Stopwatch 설계 예비보고서
    8개NAND gate 74HC00 : 3개NOR gate 74HC02 : 3개AND gate 74HC08 : 3개OR gate 74HC32 : 3개7-Segment : 3개BCD Decoder ... 단, 회로도를 그릴 때, VCC, GND 연결 등의 기본적인 연결은 표시할 필요 없이 주요 부품과 주요 결선 부분만 표시하시오.BCD to 7-segment decoder(MC14511B
    리포트 | 2페이지 | 1,000원 | 등록일 2022.09.19
  • 실험(1)디지털 논리회로 프로젝트 Door-Lock 설계
    동작74138 3-to-8 Decoder Door-Lock 회로에 사용된 Decoder는 3-to-8 Decoder이다. ... Decoder의 Y3, Y4의 출력은 3번째 4번째 입력에 대한 처리와 관련되어 있다. ... Confirm에 연결된 7490 Counter의 입력횟수에 따라 Decoder의 출력 방식이 달라짐으로써 이 출력에 연결에 따라 회로 동작 방식이 달라진다.
    리포트 | 14페이지 | 5,000원 | 등록일 2020.01.03 | 수정일 2020.10.07
  • 논리회로실험 예비보고서5
    [실험5-Decoder & Encoder]1. 실험 목적디코딩(decoding)과 인코딩(encoding)의 코드 변환 동작에 관해 실험하고 그 동작원리를 이해한다.2. ... n개의 입력변수로 된 최소항을2^n개 이하의 출력으로 바꾸어 준다.-3times8 Decoder Truth table위의 표는 3times8 Decoder의 진리표와 논리식을 나타내고 ... ·예상결과 : 이번 실험은 inverter와 and gate를 이용하여 2x4 Decoder의 내부회로를 구성해보고 역할을 확인해보는 실험이다.
    리포트 | 10페이지 | 1,500원 | 등록일 2020.09.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:06 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대