• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,496)
  • 리포트(2,344)
  • 시험자료(95)
  • 논문(23)
  • 자기소개서(15)
  • 방송통신대(15)
  • 서식(2)
  • ppt테마(2)

"Decoder" 검색결과 181-200 / 2,496건

  • [정보이론] Meggitt Decoder
    Meggit Decoder를 VHDL로 설계한 것입니다.모든 소스 포함됨.
    리포트 | 1페이지 | 2,000원 | 등록일 2003.06.18
  • [Flowrian] BCD to 7-Segment Decoder/Driver (TTL 7447)의 Verilog 설계 및 시뮬레이션 검증
    - TTL 7447 회로는 4 비트의 BCD 값을 받아 7 세그멘트 (segment) LED를 구동하는 신호로 변환하는 디코더 회로이다.- TTL 7447 회로에 대한 문서에는 게이트들로 구성된 조합논리회로도가 제공되지만 본 문서에서는 레지스터 전송 수준 (RTL. R..
    리포트 | 10페이지 | 1,000원 | 등록일 2012.05.07
  • [기초회로실험] 디코딩과 엔코딩(Decoding & Encoding)
    Decoding Encoding카운터의 디코딩 동작에 대해 공부한다. ... )라 하고 이것을 수행하는 회로를 디코더(decoder)라 한다.키보드 (10진수)컴퓨터 (2진수)표시 장치 (10진수)디 코 더인 코 더디코더 (Decoder)■ ROM, RAM과 ... encode)라 하고, 이것을 수행하는 회로를 인코더(encoder)라고 한다.■ 디지털 시스템에서 처리 되어 출력된 결과, 2진수 형태를 10진수로 변환하거나 표시하는 조작을 디코드(decode
    리포트 | 13페이지 | 1,500원 | 등록일 2009.05.14
  • 기초회로 실험 Encoder와 Decoder를 이해하고, 이를 응용하여 7segment LED를 활용한다. (결과보고서)
    기초회로실험(2분반) 2조 결과보고서 1(제 8회)실 험 주 제Encoder와 Decoder를 이해하고, 이를 응용하여 7segment LED를 활용한다.제 출 일 시학 번 / 이 ... 실험1)1) 표 1BAD0D1D2D30V0VON0V5VON5V0VON5V5VON※ 실험 분석 및 고찰: 실험1)은 7400과 7408을 사용하여 Decoder 실험 회로를 구성하고 ... 각각의 입력에 전압을 인가하여 LED에 불이 켜지는 것을 관찰하여 Decoder의 원리를 이해하는 실험이다. 7400은 4개의 NAND게이트로 구성되어 있는데 1~3번, 4~6번,
    리포트 | 3페이지 | 1,000원 | 등록일 2012.10.31 | 수정일 2013.11.11
  • convolutional encoder와 viterbi decoder 구현 with C(컨볼루셔널 엔코더와 비터비 디코더를 C로 구현)
    본 자료는 디지털 통신에 있어 필수적이고 가장 중요한 convolutional encoder와 그에 따른 viterbi decoder를 구현한 것입니다. convolutional encoder에
    리포트 | 1페이지 | 2,000원 | 등록일 2014.01.14
  • 전전컴실험Ⅱ 06반 제07주 Lab#05 [Decoder, Encoder, Mux] 예비 보고서
    목 차< 초록 (Abstract) >‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 11. Introduction (실험에 대한 소개) ‥‥‥‥‥‥‥‥‥‥‥‥ 1가. Purpose of this Lab ‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥ 1나. Essential Background..
    리포트 | 11페이지 | 1,000원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 전전컴실험Ⅱ 06반 제07주 Lab#05 [Decoder, Encoder, Mux] 결과 보고서
    그리고 이 개념으로 encoder, decoder, convertor를 만들어 낼 수 있다..나. ... 그리고 encoder, decoder, convertor는 실험 결과를 얻지 못했다. 이번 기본 bit 수에 대한 개념을 다시 바로 잡았다.1. ... 확장시켜 Binary to BCD encoder와 BCD to Excess-3 convertor / Excess-3 to BCD code convertor와 BCD to Binary decoder
    리포트 | 15페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비보고서 11장 7segment와 Decoder 회로 설계
    설계실습 계획서11-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefgdisplay0000******** ... 실습목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.11-2. ... 아날로그 및 디지털 회로 설계 실습예비 보고서실습 11. 7-segment / Decoder 회로 설계조7조제출일2016-11-24학번, 이름11-1.
    리포트 | 5페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • VHDL코드를 이용한 해밍코드decoder, 오류검출및 정정
    이 부분의 오류를 검출하고 수정하는 것이 Hamming code decoder 실험의 목적이다. ... Hamming code decoder 의 VHDL 코드 작성describe its input output signalsInput: 7 bits Output: 4 bitsdescribe ... 실험목적" 실험 목적 HYPERLINK \l "실험도구" 실험 도구 HYPERLINK \l "이론및프리랩" 이론 및 프리랩실험 목적이번 실험에서는 xilinx프로그램을 통해 해밍코드 decoder
    리포트 | 17페이지 | 2,500원 | 등록일 2008.09.20
  • [JPEG] C로 구현한 JPEG Encoding & Decoding (VC)
    멀티미디어론 과제 중 하나인 JPEG 알고리즘 구현입니다.실제 JPEG파일로 만드는 부분이 아닌 핵심 알고리즘 구현입니다.입력값은 텍스트로 된 RGB 0~255값 데이터 이며, 출력값 또한 텍스트로 된 JPEG으로 압축된 값입니다. 여기서 만약 JPEG파일로 으로 만드..
    리포트 | 1,000원 | 등록일 2007.02.01
  • 논리회로 실험 ALU,DECODER,7-SEGMENT 입니다.
    DECODER-DRIVER)왼쪽의 그림은 7 - SEGMENT DECODER - DRIVER의 핀 배치도이다. ... 논리회로 실험 레포트1.SN74LS47N (BCD TO 7-SEGMENT DECODER-DRIVER)2.SN74LS382N (ARITHMETIC LOGIC UNITS)ALU3.7-SEGMENT ... (WCN-0056SR-A11R)4.IN74LS138N (Decoder/Demultiplexer)실험자 : 전우성 (32052117)SN74LS47N (BCD TO 7-SEGMENT
    리포트 | 6페이지 | 2,000원 | 등록일 2007.09.28
  • [디지털시스템실험(Verilog)] Address Generator, Branch Handler, PC Calculation Unit을 위한 Decoder 결과보고서
    PICO Processor - Execution Top의 각 모듈을 위한 Decoder를 구현한다.실험결과① Address Generator Decoder의 시뮬레이션 결과Address ... 구현되었다.② Branch Handler / PC Calculation Unit Decoder의 설계코딩 소스는 다음과 같다.구현 방법은 앞의 address generator decoder와 ... 이 과정을 통해, 실질적으로 이 decoder에서 출력되어야 할 값이 정해진다고 볼 수 있다.
    리포트 | 5페이지 | 2,000원 | 등록일 2011.10.05
  • [디지털시스템실험(Verilog)] Address Generator, Branch Handler, PC Calculation Unit을 위한 Decoder 예비보고서
    PICO Processor - Execution Top의 각 모듈을 위한 Decoder를 구현한다.실험준비물ModelSim(HDL Simulator)기본지식① Address Generator ... 학번 / 이름 :실험조 : 실험일 :실험제목PICO Processor - Address Generator, Branch Handler, PC Calculation Unit을 위한 Decoder실험목표① ... 4100,1,3,4,6,7,9,10,12,13,15nop10,2,3,5,6nop8base-49base+4opcode를 4부분으로 나누어 정리하였고, 하위 4bit는 편의를 위해 decimal로 나타내었다.이 decoder에서
    리포트 | 4페이지 | 1,500원 | 등록일 2011.10.05
  • 디지털시스템 실험(decoder, Binary to BCD converter), 2-to-4, 3-to-8 라인디코더+testbench포함
    to-8 decoder, Binary to BCD converter, 그리고 BCD to 7segment decoder를 설계하고 FPGA 보드에 연결하여 7-segment를 작동해보았다 ... 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2014이름 :학번 :실험제목기본적인Combinational Circuit① Decoder ... BCD-to-7segment code를 FPGA보드에 연결하여 BCD를 변화시켜 7segment에 원하는 변화가 생기는지 체크하였다.토의이번 실험은 2-to-4 decoder, 3-
    리포트 | 7페이지 | 1,500원 | 등록일 2014.11.03 | 수정일 2018.05.16
  • Decoding & Recoding - 디코딩- 미래파, 알바알토, 미스반데로에
    이것이 곧 사회적 흐름을 부정하고 분열하는 행동을 말하는 디코딩(decoding)이라고 정의할 수 있다. ... Decoding & Recoding-미래파, 알바알토, 미스반데로에INDEXI. 우리가 속한 시대와 역사적 흐름a. 삶의 일부로서의 역사b.
    리포트 | 11페이지 | 3,000원 | 등록일 2010.11.04
  • VHDL-Pre lab - Decoder and Encoder!! (A+리포트 보장)
    첫 주에 연습으로 시행했던 decoder 때문에 개념파악은 이미 되어 있는 상태였다. Decoder와 encoder는 서로 상반된 개념이라고 생각 하면 된다. ... 실험 목적이번 실험은 decoder와 encoder 의 정확한 개념을 파악하고 8x3 encoder와 3x8 decoder 를 진리표를 보고 논리식을 세운 다음, Dataflow model ... 왜냐하면 이번에 decoder는 input bit가 세개 이므로, switch 3개만 사용하면 된다.
    리포트 | 12페이지 | 2,000원 | 등록일 2009.06.29
  • VHDL-Post lab - Decoder and Encoder!! (A+리포트 보장)
    Lecture 6Decoder and Encoder==================Contents===============Pre Report---------------------- ... 실험 이론지식 Decoder and Encoder3. 8x3 encoder 의 VHDL 코드 작성design it with dataflow modeldescribe its input ... -Analyze and discuss the result3x8 decoder의 특징은 바로 chip enable 값이 100으로 설정되어 있을 때 만 구현 된다는 점이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2009.06.29
  • VHDL Decoder and Encoder(post lab입니다) VHDL code 포함
    즉, Excess-3 Input에 대하여 Decoder가 3-to-8 Decoder로 동작할 수 있도록 설계하는 것이다.2) MaterialsPersonal Computer, Xilinx ... 전자전기컴퓨터설계실험IIIPOSTLAB REPORT[Decoder and Encoder]학 과전자전기컴퓨터공학부담당교수김영길 교수님조4 조학 번2004440044이 름노 성 호제 출 ... 실 험 소 개 (Introduction)1) Purpose of the Experiment이번 실험은 조합논리회로를 이용한 Excess-3 Input Decoder를 이해하고 설계하는
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • Max+ 7 세그먼트 디코더 ( 7 Segment decoder) 설계
    ieee;use ieee.std_logic_1164.all;--===============================================[Library]entity ud_decoder4 ... Ain : in std_logic_vector(3 downto 0); Dout : out std_logic_vector(9 downto 0));end ud_decoder4 ... _10Vector;--===============================================[Define Entity]architecture sample of ud_decoder4
    리포트 | 5페이지 | 1,000원 | 등록일 2007.03.29
  • [멀티미디어] ADPCM Encoding & Decoding 알고리즘 구현(VC)
    요구 사항1.Linear PCM 데이터를 ADCPM으로 인코딩하는 프로그램을 구현하여라.-입력 데이터 : ASCII파일로 된 연속된 부호 있는 16비트의 상수값-출력 데이터 : ASCII 코드 형태로 IMA-ADPCM 인코딩된 부호있는 4비트의 상수값2.인코딩한 ADC..
    리포트 | 2,000원 | 등록일 2007.02.01
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:05 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대