• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,496)
  • 리포트(2,344)
  • 시험자료(95)
  • 논문(23)
  • 자기소개서(15)
  • 방송통신대(15)
  • 서식(2)
  • ppt테마(2)

"Decoder" 검색결과 161-180 / 2,496건

  • [디지털시스템실험(Verilog)] PICO Processor - Decoder 결과보고서
    PICO Processor의 Decoder를 구현한다.실험결과① Decoder의 시뮬레이션 결과Decoder의 시뮬레이션 결과는 다음과 같다.Wave form은 위와 같다.오른쪽에는 ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 결과 보고서학부 : 학번 / 이름 :실험조 : 실험일 :실험제목PICO Processor - Decoder실험목표① ... 이용한 테스트벤치 파일로 시뮬레이션 하였으며, 아무런 오류가 검출되지 않았다.이는 시뮬레이션 결과가 dump 파일과 일치함을 뜻하며, 시뮬레이션 결과가 정확함을 보여준다.고찰① Decoder
    리포트 | 4페이지 | 2,000원 | 등록일 2011.10.05
  • BCD TO 7-SEGMENT DECODER 설계 결과 보고서
    따라 DECODE한다.DECODE된 신호는 FND507로 이어진다.(3) FND507은 HD74LS47P에서 받은 신호를 각각의 LED를 켜서 숫자를 표시한다.8. ... 설계과정BCD TO 7-SEGMENT DECODER의 설계 과정은 다음과 같다.(1) BCD TO 7-Segment Decoder의 회로를 구성한다.(2) 구성된 회로를 PADS LOGIC에 ... 따라서 이 DECODER는 많은 장점을 가지고 있다.이번 설계를 하면서 부족한 부분을 많이 채운 것 같다.
    리포트 | 12페이지 | 2,000원 | 등록일 2010.12.21
  • [VHDL]디코더(decoder)와 인코더(encoder)
    2. 8x3 인코더-behavior modeling소스 코드Library ieee;use ieee.std_logic_1164.all;entity encoder_bh is port(en : in std_logic; d : in std_logic_vect..
    리포트 | 6페이지 | 5,000원 | 등록일 2007.06.26 | 수정일 2017.11.21
  • 결과보고서 실험 7. 복호기와 부호기(Decoder & Encoder)
    복호기와 부호기(Decoder & Encoder) >< 목 적 >카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다 ... .< 실험 과정 및 결과 >1) 2단 2진 카운터2단 2진 카운터 decoding gate그림 2의 회로를 구성하여 그림 3에 다음의 파형을 그리시오.1) 출력 A의 파형CLKA2) ... 이때 출력은 0에서 4번째 펄스에서의 출력과 같아야 한다.Decade Counter and decoder같은 실험을 output 7(9번 핀)에 대해서 반복한다.
    리포트 | 8페이지 | 3,000원 | 등록일 2012.03.11
  • [공학]adder, subtracter & decoder
    논리회로실험예비보고서실험 5. adder, subtracter & decoder1. adder(1) XOR gate(IC7486), AND gate(7408)을 이용하여 반가산기를 ... 이용하여 전감산기를 구성하여 위의 문제 (3)에서 구성한 논리 회로와 비교하시오.Carry를 산출하는 게이트에 A의 보수를 적용하면 간단히 전가산기를 전감산기로 바꿀 수 있다.3. decoder1
    리포트 | 12페이지 | 3,000원 | 등록일 2007.06.18 | 수정일 2015.08.26
  • Huffman 트리(decode 트리)를 생성하라 (우진운 교수님)
    1.txt 입력2.txt입력3.txt입력#include #include #include #define MAXSIZE 80// 허프만 코드의 결과를 저장할 문자열 사이즈using namespace std;char file_name[80];// 파일 이름을 입력 받을 변수..
    리포트 | 7페이지 | 1,000원 | 등록일 2009.10.05
  • 제대로번역한 Reading Explorer5 UNIT9. Creativity 9A. Portrait of a Genius (Decoding Leonardo)
    Creativity9A: Portrait of a Genius (한 천재의 초상화)Decoding Leonardo 레오나르도 해독하기ACCORDING TO LEGEND, in the
    리포트 | 8페이지 | 4,000원 | 등록일 2013.11.24 | 수정일 2020.11.06
  • Encoder, Decoder, MUX(Multiplex)의 작동원리 및 특징 실험 레포트
    Encoder에서의 입력은 Decoder에서의 출력과 동일하며 Encoder에서의 출력은 Decoder에서의 입력과 동일하였다. ... 이번에 우리가 실험하게 된 Decoder는 3개의 입력으로 입력부가 이루어져 있었으며 이에 따른 출력부가 3개로 이루어져 있었다. ... 1.TitleEncoder, Decoder, MUX(Multiplexer)의 작동원리 및 특징에 대하여 이해한다.2.Name3.Abstract1) 74LS153칩을 이용하여 4 to
    리포트 | 25페이지 | 3,000원 | 등록일 2010.06.09
  • [예비]7-segment / Decoder 회로설계
    설계실습 11. 7-segment / Decoder 회로 설계1.목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2.준비물직류전원장치1대멀티미터 또는 오실로스코프1대Bread ... /Driver+5V- BCD to 7 Segment Decoder/Driver.BCD 입력을 7-Segment LED로 출력. ... board1대저항(330Ω)8개Decoder(74LS47)1개Hex Inverter(74LS04)8개7-segment1개Toggle Switch4개점퍼선다수3.
    리포트 | 5페이지 | 1,500원 | 등록일 2008.11.17
  • 논리회로실험 복호기와 부호기(Decoder & Encoder)
    복호기와 부호기(Decoder & Encoder)Ⅰ. ... 목 적카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해실험하고 그 동작원리를 이해한다.Ⅱ. ... 회로를 해독기 또는 디코더라고 하고 이와 반대로 10진수를 2진수 코드로 바꾸거나 입력 단자에 나타낸 정보를2진 코드화하여 출력시키는 회로를 부호기 또는 인코더라 한다.(1) 복호기(Decoder
    리포트 | 6페이지 | 1,500원 | 등록일 2010.03.20
  • 설계실습 11. 7-segment / Decoder 회로 설계 결과
    설계실습 11. 7-segment / Decoder 회로 설계1.
    리포트 | 2페이지 | 1,500원 | 등록일 2010.11.12
  • VHDL Decoder and Encoder(prelab 입니다) xilinx vhdl
    반전된 값이 출력으로 나오는 형태였는데, 여기서 설계된 decoder는 반전되지 않은 일반적인 decoder model이다. ... 즉, n개의 입력에 대하여 2n개의 출력이 나오는 decoder의 동작을 이해하는 것이다.2. Theory(Pre Report)1. ... Purpose of the Experiment이번 실험은 조합논리회로를 이용한 74LS138 decoder를 이해하고 설계하는 것이다.
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • [Flowrian] 3-to-8 Decoder (TTL 74138) 회로의 Verilog 설계 및 검증
    동작 사양본 회로의 3개의 단자에 입력되는 이진수 값을 디코딩하여 그 값에 해당되는 출력 단자를 하나 선택하여 약속된 논리값을 출력하는 디코더 가능을 수행하는 조합논리회로이다. TTL 74183의 동작을 기능 테이블로 나타내면 아래와 같다. Enablr단자 - G1, ..
    리포트 | 7페이지 | 1,000원 | 등록일 2012.07.13
  • 설계실습 11. 7-segment / Decoder 회로 설계 예비
    설계실습 11. 7-segment / Decoder 회로 설계1.목적 : 7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2.준비물직류전원장치1대멀티미터 또는 오실로스코프1대Bread ... board1대저항(330Ω)8개Decoder(74LS47)1개Hex Inverter(74LS04)8개7-segment1개Toggle Switch4개점퍼선다수1. 7segment란7segment ... .00011110000001010110111110101100000111100000000101101101111001010001111000010001010011111110000000011110000001010001111010101010000111100000110100111110111010110001111000011101011011001010001000011110001001010000110010100010(3) Decoder
    리포트 | 6페이지 | 1,500원 | 등록일 2010.11.12
  • [Flowrian] 3-to-8 Decoder (TTL 74137) 회로의 Verilog 설계 및 검증
    단지를 하나 선택하여 약속된 논리값을 출력하는 디코더(Decoder) 회로 이다. ... 1. 3-to-8 Decoder(TTL 74137)회로의 Verilog 설계 및 검증* 동작 사양- 본 회로는 3개의 단지에 입력되는 이진수 값을 디코딩하여 그 값에 해당되는 출력
    리포트 | 8페이지 | 1,000원 | 등록일 2012.06.05
  • 7-Segment Decoder using Decimal to BCD Converter
    WaveformDecimal to Seven Segment Decoder Hierarchy위의 그림에서 볼 수 있듯이 Decimal to Seven Segment decoder는 ... 7-Segment Decoder using Decimal to BCD ConverterDecimal to BCD Converter를 Codingmodule Deci_to_BCD(X, ... wire 역할을 하는 Minterm 과 Decimal to BCD coding에 의해서 연결이 됨을 알 수 있다.Decimal to Seven Segment decoder가 최상위
    리포트 | 3페이지 | 1,000원 | 등록일 2009.05.07
  • 논리회로 - 4 to 16 bits decoder using two 74x138 Truth Table(진리표)
    74x138 디코더 2개를 이용해서 만든 4 to 16bit 디코더 진리표(Truth Table)입니다.
    리포트 | 1페이지 | 1,000원 | 등록일 2013.12.21
  • [Flowrian] BCD to 7-Segment Decoder (TTL 7448)의 Verilog 설계 및 시뮬레이션 검증
    TTL 7448 회로는 4 비트의 BCD 값을 받아 7 세그멘트 (Segment) LED를 구동하는 신호로 변환하는 디코더 회로이다. TTL 7448 회로에 대한 문서에는 게이트들로 구성된 조합논리회로도가 제공되지만 본 문서에서는 레지스터 전송 수준 (RTL, Regi..
    리포트 | 10페이지 | 1,000원 | 등록일 2012.05.07
  • Decoder, Segment, MUX, 예비, 결과레포트 및 베릴로그 소스
    Decoder, Segment, MUX, 예비, 결과레포트 및 베릴로그 소스
    리포트 | 1,500원 | 등록일 2008.11.27
  • [디지털 설계 언어] [쿼터스 / Verilog 설계] 2x4 Decoder / 4x1 MUX Behavioral Modeling / D flip-flop
    1. 2x4 Decoder① Dataflow Modeling코드컴파일 화면컴파일 후 Warning문장을 포함한 Message 화면총 4가지의 Warning문장이 나오는데 첫 번째는 단순히 소프트웨어 가입을 권하는 것이고, 2번째는Critical Warning으로 7개 ..
    리포트 | 6페이지 | 1,000원 | 등록일 2014.08.11 | 수정일 2016.06.13
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:11 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대