• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,496)
  • 리포트(2,344)
  • 시험자료(95)
  • 논문(23)
  • 자기소개서(15)
  • 방송통신대(15)
  • 서식(2)
  • ppt테마(2)

"Decoder" 검색결과 141-160 / 2,496건

  • 7-segment 표시를 위한 Decoder 설계
    ieee; -- 사용할 library들을 선언한다.use ieee.std_logic_1164.all; -- IEEE표준 library를 사용. entity seven_segment_decoder ... of seven_segment_decoder is -- 설계 부분 선언begin -- 설계 부분 시작 선언process (input) -- 프로세스 문을 사용, 병행적으로 여러 개의 ... 조건문을begin 순차적으로 수행 할 수 있다. with select when문 또는 when else문의 병렬조합으로도 Decoder를 설계 할 수 있다.case input is
    리포트 | 4페이지 | 3,000원 | 등록일 2011.01.09
  • [논리회로실험] decoder와 encoder (결과)
    Decade Counter and decoder같은 실험을 output 7(9번 핀)에 대해서 반복한다. ... 실험방법 및 결과1) 2단 2진 카운터그림 2. 2단 2진 카운터 decoding gate그림 2의 회로를 구성하여 그림 3에 다음의 파형을 그리시오.1) 출력 A의 파형 2) 출력
    리포트 | 7페이지 | 1,500원 | 등록일 2009.03.20
  • [논리회로실험] decoder와 encoder (예비)
    Decade Counter and decoder같은 실험을 output 7(9번 핀)에 대해서 반복한다. ... 실험방법1) 2단 2진 카운터그림 2. 2단 2진 카운터 decoding gate그림 2의 회로를 구성하여 그림 3에 다음의 파형을 그리시오.1) 출력 A의 파형2) 출력 A’의 파형3 ... 10100001114×2 인코더 진리표⑥ 논리함수A = D0' D1' D2 D3' + D0' D1' D2' D3B = D0' D1 D2' D3' + D0' D1' D2' D3회로도2) DECODER
    리포트 | 13페이지 | 1,500원 | 등록일 2009.03.20
  • 아주대학교 논리회로 설계 과제 1. 7 Segment Decoder vhdl
    -7 Segment decoder를 이용해 학번을 출력-설계 방식은 if, case, with ~select, when 등을 택해서 설계-Block diagram이나 Structure
    리포트 | 11페이지 | 1,500원 | 등록일 2013.11.28
  • BCD 7-Segment Decoder 설계제안서
    명제▶ 7447 BCD 디코더 & driver, 7-segment를 이용하여 BCD to 7-segment decoder를 설계하고, 2진 입력을 BCD코드로 디코드하는 과정을 눈으로 ... 칩이다. 7-Segment용 Decoder IC라고도 부르며, 2진수 4비트로 0~9까지 숫자를 입력하면 출력으로 A~G까지 FND의 LED를 켜 주는 IC칩이다. ... 각 칩의 명칭과 기능▶ 7447(BCD to 7 Segment Decoder/Driver)7447(74LS47)은 BCD입력을 받아서 FND에 숫자를 출력해 주는 FND 구동용 IC
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.21
  • [A+ 예비보고서] 아주대 논리회로실험 실험5 'Decoder & Encoder'
    예비보고서실험목적- 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.- AND gate를 이용하여 Excess-3 Code를 ... 실험방법2X4 DecoderBCD to Decimal Decoder8×3 Priority Encoder인코딩 - 10진/ Excess-3 코드(BCD에서3을 더함.Excess-3 코드
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • [A+ 결과보고서] 아주대 논리회로실험 실험5 'Decoder & Encoder'
    결과보고서실험목적- 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해한다.- AND gate를 이용하여 Excess-3 Code를 ... 실험결과●Decoder실험1 2X4 Decoderinput 0 0input 0 1input 1 0input 1 1D1D2D3D4D1D2D3D4D1D2D3D4D1D2D3D4****** ... DecoderINPUTOUTPUTA3A2A1A0Y0Y1Y2Y3Y4Y5Y6Y7Y8Y900010111111111001010111111110011110111111101001110111111010111110111110110111110111101111111110111100011111110111001111111110110101111111110실험1은 NOT게이트와 AND게이트로 구성한 2X4 디코더 이다.실험2는 74HC42로 구성한 BCD to Decimal Decoder
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • VHDL로 구현한 해밍코드(Hamming code)의 인코더(encoder), 디코더(decoder) 설계
    bit에 패리티비트를 추가하는 Encoder의 설계, 인코딩 되어진 12자리 비트에 에러를 의도적으로 추가하여 시뮬레이션 했을 때 자체적으로 에러를 정정하여 원래의 비트로 되돌려주는 Decoder
    리포트 | 4페이지 | 5,000원 | 등록일 2013.12.29 | 수정일 2020.12.14
  • Matlab을 이용한 cyclic encoder 및 decoder 설계
    Decoder 3. ... Decoding 과정에서는 이렇게 error가 첨부된 Codeword에 대하여 Decoding을 실시하였습니다.①.3 (15.11) - Decoding- Syndrome Look-Up ... course% 주어진 Codeword가 있다면 Encoding 과정없이 Decoding 가능% 변수 U는 Encoding으로 생성된 Codeword C는 Decoding 과정을 거친
    리포트 | 13페이지 | 3,000원 | 등록일 2010.06.03
  • xilinx를 이용한 디코더(Decoder)와 인코더(Encoder)설계
    STD_LOGIC_VECTOR(7 downto 0)); end DECODER3_8; architecture case_decoder of DECODER3_8 is begin process ... D : out STD_LOGIC_VECTOR(7 downto 0)); end DECODER3_8; architecture with_decoder of DECODER3_8 is begin ... 관련 기술 및 이론디코더(Decoder) 코드 형식의 2진 정보를 다른 코드 형식으로 바꾸는 회로가 디코더(Decoder)이다.
    리포트 | 19페이지 | 1,500원 | 등록일 2010.06.24
  • [토끼] 기초전자공학실험1_Encoder_Decoder_MUX
    Encoder, Decoder, MUX2.Name구 분학 번이 름3.Abstract1) Decoder에 대하여 이론적인 학문을 습득하고 그 예시에 대하여 살펴본다.2) Encoder에 ... gate를 이용하여 구성하여실제 출력을 확인 한다.4.Background1) 디코더(decoder)디코더(decoder)란 n비트의 2진 코드(code) 값을 입력으로 받아들여 최대 ... Decoder설계를 가장 먼저 하였는데 스키메틱 구성의 오류도 없었고 결과값은 무난하게 잘 출력되었다.C.
    리포트 | 40페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2020.07.10
  • 아주대 논리회로실험 실험예비7 복호기와 부호기 (Decoder & Encoder)
    복호기와 부호기 (Decoder & Encoder) 예비보고서● 이론(1) 복호화(Decoding)2진수를 10진수로 바꿔주는 것으로 카운트 상태를 AND gate를 이용하여 디코딩한다 ... 통상의 부호화와 복호화는 하나의 칩으로 구성된 인터페이스 집적 회로(IC) 등을 이용한다.(2) 복호기(Decoder)컴퓨터 내부에서 디지털로 코드화된 데이터를 해독하여 그에 대응되는
    리포트 | 12페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 디지털 통신 Term project_Encoder and Decoder for Systematic (N,K) Cyclic Codes
    이동통신공학Encoder and Decoder for Systematic (N,K) Cyclic Codes코드 및 해석1.
    리포트 | 10페이지 | 2,000원 | 등록일 2013.10.13 | 수정일 2013.10.17
  • [Flowrian] One-to-Ten Decoder (TTL 7442)의 Verilog 설계 및 시뮬레이션 검증
    TTL 7442 회로는 4개의 입력이 갖는 바이너리 값에 해당되는 출력만 ‘0’으로 출력되고 나머지 출력들은 ‘1’이 출력되는 디코더 회로이다.- 디코드는 코드화된 부호를 원래의 코드로 복귀시키는 회로이기 때문에 입력 단자의 비트수 합이 출력 단자의 비트수 합보다 적게..
    리포트 | 10페이지 | 1,000원 | 등록일 2014.04.24
  • [Flowrian] One-to-Ten Decoder (TTL 7442) 회로의 Verilog 설계 및 검증
    One- to- Ten Decoder (TTL 7442)회로의 Verilog 설계 및 검증동작 사양- TTL 7442 회로는 4 비트의 BCD 값을 입력 받아 그 값에 해당되는 출력
    리포트 | 10페이지 | 1,000원 | 등록일 2014.04.09
  • 아주대 논리회로실험 실험결과7 복호기와 부호기 (Decoder & Encoder)
    .● 토의 및 고찰이번 실험은 디코더와 인코더 실험으로 카운터를 이용해 디코딩(decoding)과 인코딩(encoding)의 코드변환 동작에 관해 실험하고 그 동작원리를 이해해보는
    리포트 | 9페이지 | 1,500원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • [논리회로과제]해밍코드 4 Input 7 Output ENCODER,DECODER 만들기
    4비트의 입력을 받아 7비트의 해밍코드를 출력하는 인코더를 만든다.A,B,C,D는 정보비트, H1에서 H7는 해밍코드이다. 왼쪽 그림의 entity와 entity안의 architecture을 구성하여 해밍코드가 출력되도록 하는 vhdl을 구성하고 testbench fi..
    리포트 | 15페이지 | 4,000원 | 등록일 2013.01.21
  • 아주대 논리회로실험 래치와 플립플롭 , Decoder &Encoder결과보고서
    Decoder & Encoder실험 1) 2*4 디코더AND, NOT gate를 사용해서 2*4 디코더회로구성실험 1 결과값입력출력ABD0D1D2D30*************0010110001실험
    리포트 | 7페이지 | 1,000원 | 등록일 2013.11.29
  • 4bit adder, 7segment decoder 디지털회로실험보고서
    Wincupl을 설정 후 7Segment decoder 코딩.2. 브레드보드에 우선적으로 7Segment decoder를 프로그래밍한 PLD와7Segment를 꼽고 확인.3. ... 4bit adder, 7segmet decoder실험보고서Subjectː디 지 털 회 로 실 험ProfMajorStudent No.NameDate실험이론8개의 스위치를 4개씩 한 조를 ... 첫 번째 실험은 브레드보드에서 끝났었지만 이번에는 만능기판에개인별로 완성하는 것이 마지막 과제였기 때문에 실험 시간이 첫 번째 실험보다는 더 길어진 것 같다. 7Segment decoder
    리포트 | 14페이지 | 1,000원 | 등록일 2012.12.01
  • [결과]실험5. Decoder & Encoder & 실험6. Latch & Flip-Flop
    실험5-1. 2X4 Decoder실험 5-1은 inverter와 AND gate를 사용하여 2x4 decoder를 만들고 입출력 결과를 관찰하는 실험이었다. 2비트의 2진수 코드를 ... Decoder & Encoder & 6. Latch & Flip-Flop1. 실험과정 및 결과? ... 이와 같이 decoder는 2진수로 변환되어있는 코드를 10진수 혹은 8진수의 analog신호로 다시 변환시켜주는 작업을 하게 된다.?
    리포트 | 7페이지 | 1,500원 | 등록일 2013.09.28
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:38 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대