• 통큰쿠폰이벤트-통합
  • 통합검색(686)
  • 리포트(643)
  • 시험자료(29)
  • 자기소개서(6)
  • 논문(5)
  • 방송통신대(2)
  • 이력서(1)

"code-switchin" 검색결과 281-300 / 686건

  • 열유체실험 3번_Momentum Theory
    실험 방법과 장치① 먼저 노즐이 작동 할 수 있게 기계의 전원 코드가 꽂혀있는지 확인 후 전원 스위치를 위로 올려 작동 시킨다. ... } BULLET sin theta -0)=0-P _{2} BULLET A _{2} BULLET sin theta +R _{{} _{Y}} -W여기서 x축과 y축에 대해 각각 오른쪽, ... A _{1} -P _{2} BULLET A _{2} BULLET cos theta -R _{X}sum _{} ^{} F _{Y} = rho BULLET Q BULLET (v _{2
    리포트 | 16페이지 | 3,000원 | 등록일 2016.10.22
  • GPIO 입출력제어 예비보고서
    ATmega128, 89C51, PIC, Cortex-M3 디바이스를 각각 탈착이 가능한 커넥터 구조의 모듈로 마이크로컨트롤러의 학습을 가능하게 해준다. ... Analent TMS1000의 개발이후 1976년 Intel에서 8bit M/C 8048(MCS-48) 개발을 시작으로 1982년에는 16bit, 1988년에는 32bit 그 이후로도 ... 한 번 쓰인 명령어를 반복해서 읽을 수는 있으나 변경할 수 없는 판독전용): ISP(In System Programming) 프로그래밍 지원ATmega128 마이크로컨트롤러의 가장
    리포트 | 25페이지 | 1,000원 | 등록일 2017.04.02
  • 아주대논리회로실험 7장시프트레지 예비보고서(기본구성+빵판+예상결과)
    and co-workers in their professional development and to support them in following this code of ethics.위 ... 로 입력에 연결시켜 counter로 사용할때 이를 Johnson 또는 Twisted ring, Switched-tail, Ring-tail counter 등으로 부른다. ... 스위치 중 PR 스위치는 +5V에 연결하고 Serial Data 스위치는 0V에 연결한다.(2) CLR 스위치를 접지에 연결해 시프트 레지스터 내의 모든 정보를 없애고 다시 +5V
    리포트 | 8페이지 | 1,500원 | 등록일 2011.12.21
  • 호남대학교 항공안전 중간고사 정리본 족보
    운항 승무원 ( cockpit crew )- 구 성 : 기장 과 부기장 ( 항공 기관사 )- 권한과 의무 : 항공기의 운항 지휘, 운항 확보, 승무원에 대한 지휘.감독 등 책임- 운항 ... 항공사 코드(Airline Code):국제항공운송협회(IATA)의 '2 Letter Code‘ 국제민간항공기구(ICAO)의 '3 Letter Code'* 국내저가항공사 : KE- 진에어 ... (LJ) , OZ- 에어부산(BX), 제주항공(7C), 이스타항공(ZE), 티웨이(TW)?
    시험자료 | 13페이지 | 3,000원 | 등록일 2017.06.17 | 수정일 2017.12.16
  • HCI Actuator 실험
    In addition, the resulted circuit had to be little context-aware. ... The Arduino code is attached below. ... switch.
    리포트 | 6페이지 | 3,200원 | 등록일 2010.06.03
  • 전기전자 기초실험
    -5홍성현①Research on the below factors, as they are needed for the project- 1 MHz crystal oscillator in ... 또한, 정확한 코드를 사용하는 것도 오차를 발생시키지 않는 방안이 될 것이다. ... that cause the errors in digital clocks and find ways to solve them.시계를 만들 때 분, 초에 대한 클락을 조정하는데 여기서
    리포트 | 3페이지 | 1,500원 | 등록일 2012.11.27
  • 에밀리 포스트 정리
    “Etiquette is a code of behavior based on consideration and thoughtfulness, and it’s a fallacy that only ... niceties like not cutting off motorists when switching lanes, or not swatting anyone with your backpack ... book in its category.8문단 : 페기 포스트의 에티켓 책은 사회를 반영한다.Reflecting the changes in society, Peggy Post’s style
    시험자료 | 5페이지 | 2,000원 | 등록일 2014.07.25
  • 기계 공학 실험 - DAQ1 (Data Acquisition System)
    plug-in board.3.1.2 A/D converter(A/D 변환기)A/D converter는 아날로그 신호를 디지털 신호로 변환하는 회로, 또는 유닛을 말한다. ... 아날로그 장비들은 Analog-to-digital converter를 통하여 디지털 장비에 신호를 전달하며, 디지털 장비의 디지털 신호는 Digital-to-analog converter를 ... 다른 프로그래밍 시스템들이 코드를 생성하기 위하여 텍스트 기반(text-based)의 언어를 사용하는데 반하여 LabV기존의 프로그래밍 언어로 가능한 어떠한 수치적 계산도 LabVIEW를
    리포트 | 6페이지 | 1,000원 | 등록일 2014.09.18
  • Variable Gap Magnet
    docId=1221496&cid=40942&categoryId=32244 (전류에 의한 자기장)- http://blog.naver.com/physics0/140033073396 (강자성체 ... EC%9E%90%EA%B8%B0 (자성)- http://terms.naver.com/entry.nhn? ... mounting rod에 패치 코드 접속의 위치를 반대로 연결. swing은 반대 방향으로 이동할 것이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2017.02.07 | 수정일 2017.03.23
  • VHDL-Pre lab - Mux and DeMUX
    VHDL codes for 74LS138이번 Demux 74ls138 코드 역시 교안에 적힌 것과는 다르게 진리표를 사용하여 Dataflow 형태로 나타내었다. ... write VHDL codes< with selct 구문 이용한 VHDL code>이번 실험에서 조교님이 교안에 나온 Dataflow model과 상이하게 짜는 것을 지시하셨다. ... 실험 목적MUX와 DEMUX에 대한 이해와 이를 이용한 다양한 VHDL coding에 대해 알아보도록 한다.
    리포트 | 13페이지 | 2,000원 | 등록일 2009.06.29
  • 멀티미디어처리설계(chapter4)
    /(D.^2 - D0^2 + eps)).^2*n)+1));end%% Switch를 이용하여 type에 따라 다른 값을 준다.switch typecase 'reject' % type ... 처리설계(Project1) Bandreject and Bandpass FilteringIn the book we discuss lowpass and highpass filtering in ... implement these filters:◆ Bandfilter 소스코드-----------------------------------------------------------
    리포트 | 11페이지 | 1,000원 | 등록일 2014.12.06
  • 로보틱스 실험보고서
    실험이론1)구성 및 특징① Appearance and Dimension of Product(In the case of compsing 8 axes)ⓐ Main Power Switch ... ⓑ Emergency Switchⓒ 7 Segment Display Partⓓ Operation Switch #1, ⓔ Operation Switch #2ⓕ Teach Pendant ... 이와 같이, 전원 주파수에 맞추어 모터를 돌리는 것이 교류 모터이다(출처 네이버카페 http://cafe.naver.com/motorbank02)?
    리포트 | 10페이지 | 1,500원 | 등록일 2013.10.30
  • [AVR/MCU] 8051칩을 이용해 시계/타이머 제작 프로젝트[소스코드 해석]
    목 차목 표동작 원리-1 소자설명 및 회로해석-2 코드 해석기판 제작 과정-1 프로젝트 재료-2 프린트된 종이 기판에 회로도 스캐치-3 브래드 보드로 회로 테스트-4 기판에 소켓 배치결과 ... 코드와 재료는 주어졌고 코드를 해석하면서 실제 키트가 어떻게 동작하게 되는지 알아본다. ... 스위치가 열려 있는 상태에서 닫거나 닫혀있는 상태에서 열 때(스위치의 상태가 변하는 순간) 10ms 이내에 열림과 닫힘이 수회 반복되는 현상을잡음 또는 채터링(chattering)이라고
    리포트 | 25페이지 | 3,000원 | 등록일 2012.11.16
  • Linear Delta Modulation (LDM) Sysmtem
    PCM 이나 DPCM은 몇 개의 비트로 이루어진 code word 하나에 clock 신호가 하나 해당 된다. ... 그림 5-32와 같이 모듈을 준비한다. Lowpass Audio Filter을 설치하기 전에 COUPLING switch를 둔다.2. ... The oscilloscope display should resemble Figure 5-14.③Select SIGNAL 1 in the SELECTOR 1 section of the
    리포트 | 8페이지 | 2,000원 | 등록일 2012.12.23 | 수정일 2014.01.01
  • MIMO System
    Layered Space-Time) Make a suggestion by FoschiniDEMUXLayer2 (mod/code)Layer3 (mod/code)Layer1 (mod/ ... (mod/code)Layer3 (mod/code)Layer1 (mod/code)Equal RatesPrimitive data streamAntenna 1Antenna 2Antenna ... code)……Modulo-n shift of layer-antenna Correspondance every r secondsFading noisy matrix channelAntennasEqual
    리포트 | 17페이지 | 3,000원 | 등록일 2009.06.11
  • 파일구조 팀프로젝트
    )/2;switch (in_Menu){case 1:if(! ... 소스 코드//전기 관리 시스템 파일 입? ... 전기 관리 프로그램 소스 코드--------------------------------------2. 전기 관리프로그램 실행 화면1.제품 정보 입력2.입력 값 전체 출력:2-1.
    리포트 | 12페이지 | 4,500원 | 등록일 2012.08.14
  • 전기전자 응용실험_7주차 예비 보고서
    In the code, by subtracting 1 from constant, while instruction is repeated until the 'del' becomes to ... And it compares the switch variable and 0x01 to check the SW0, and 0x80 to check the SW5 by bitwise AND ... In the function, count variable is increased by 1 with calling the function.
    리포트 | 3페이지 | 1,000원 | 등록일 2011.07.09
  • Barbara McCkintock(1902-1992)
    close to gene number 4, the latter is switched off. ... The discovery was made at a time when the genetic code and the structure of the DNA double helix were ... Marie Curie was first in 1911 for discovering radium and polonium, and Dorothy Crowfoot-Hodgkin was second
    리포트 | 16페이지 | 5,000원 | 등록일 2012.05.17
  • 운영체제 연습문제 3장
    before it admits another one.1516 Chapter 3 Processes3.2 Describe the actions taken by a kernel to context-switch ... site for source code solution.3.10 In Exercise 3.6, the child process must output the Fibonacci sequence ... site for source code solution.3.11 Most UNIX and Linux systems provide the ipcs command.
    리포트 | 7페이지 | 1,000원 | 등록일 2010.09.29
  • 시립대 전자전기컴퓨터설계실험1 4주차 예비레포트
    전류가 흐를 때에 철판을 끌어당겨 철판에 부착된 스위치를 닫도록 하는 것이다. ... 저항기와 마찬가지로 컬러코드로 값을 표시하고 있수 있는 것이다. 이 전류값은 최대정격이므로, 실제 사용할 때에는 최대라도 70% 정도 사용하는 편이 무난하다. ... 전원의 안정화에는 통상 Hyperlink "http://www.ktechno.co.kr/ls_parts/parts06.html" 3단자 레귤레이터를 사용하기 때문에 이 다이오드의 용도는
    리포트 | 27페이지 | 2,000원 | 등록일 2016.03.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:11 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대