• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(685)
  • 리포트(642)
  • 시험자료(29)
  • 자기소개서(6)
  • 논문(5)
  • 방송통신대(2)
  • 이력서(1)

"code-switchin" 검색결과 201-220 / 685건

  • 05장 결과 - 기초물리학실험2.인덕터와 인덕터 회로
    스위치S를 1위치에서 2위치로 돌려지게 되면i _{L} (t)=I _{s} (1-e ^{-t/ tau } )식에서 t가 커지므로, exp항이 0으로 수렴하게 된다. ... , 빵판, 점퍼 전선, 점퍼 코드, 버니어 캘리퍼, Vernier사 전압프로브, Vernier사 전류 프로브, Labpro, Logger Pro 3, PC, 스토리지 오실로스코프실험 ... i _{L} (t)=I _{m} sin(2 pi ft) 와v _{L} (t)=V _{m} sin(2 pi tf`+90) 식에서 90도의 위상차가 나는 것을 알 수 있다 그런데 실험
    리포트 | 8페이지 | 3,000원 | 등록일 2016.06.25 | 수정일 2016.06.26
  • 자료구조 과제07 BST
    < "사용자 검색 - 사용자 id를 입력하시오: ";cin >> input_id;found = list.AVL_Retrieve(input_id, temp, &cnt);if(found ... - 오류 코드가 반환됨330 반환조건_ 성공(TRUE), 혹은, 못 찾으면 (FALSE)331 */332 template 333 bool AvlTree :: AVL_Delete(KTYPE ... / if(dltKey < root->data.key) {374 if(strcmp(dltKey, root->dE *leftTree;453454 // 문장들455 switch(root-
    리포트 | 25페이지 | 2,000원 | 등록일 2015.12.19
  • 전전컴설계실험2-8주차예비
    부분들을 설계하여 One-shot enable및 Data 입력 D를 구현Reset 구현(In0: Bus Switch 1 ,In1: Bus Switch 2 ,RST: RESET_N , ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 4-bit Shift Register (Included Enable)를 설계한다..2. 4-bit Shift ... Xilinx ISE S/W 의 Project에 Veliog 코드를 이용하여 4-bit Shift Register 를 설계한다..2. 4-bit Shift Register 를 Synthesize
    리포트 | 11페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 강의용 블로우드라이스타일링1.ppt
    흐름을두피면에서 각도와 컬의 성질- In curl두피면에서 각도와 컬의 성질- Out curl베이스 내 위치, 최대 볼륨 한바퀴 C 컬 효과베이스 ½을 벗어남 컬의 유동성. ... (일정하지 않으면 머리 모양이 잘 나지 않음) -모타소리가 거칠지 않은지 관찰, 바람조절 스위치, 기타 부속품의 견고성 확 제품- 헤어 무스, 헤어 스프레이. ... 코드가 고객의 어깨나 얼굴에 닿지 않도록 주의 -드라이어의 출구로 롤이 감겨진 머리를 막지 말아야 함 (모발이 상하거나 드라이어 속으로 머리카락이 빨려 들어갈 수도 있고, 또 모터에
    리포트 | 32페이지 | 1,000원 | 등록일 2019.06.19 | 수정일 2020.12.26
  • 스톱워치 vhdl 구현 보고서
    3.vhdl 코드1)Clk_div1MHz -> 100Hz 로 분주하여 0.01 초 단위로 숫자가 카운트 될 수 있도록 설계한다. ... --switch.vhdlibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity switch isport ... port( mclk : in std_logic; clkout : out std_logic );end clkdiv;architecture behavior of clkdiv
    리포트 | 14페이지 | 2,000원 | 등록일 2013.12.24
  • 가산기, 감산기
    (A0, B0, C0)에 아래 첨자를 붙이고, 그 다음 LSB에 아래 첨자 1을 붙인다.이번 실험에서 가산기와 비교기는 4비트 2진 코드를 Excess-3 코드로 변환 하는데 사용되어진다 ... 단 1을 또 더하는 것은 캐리 입력에 1을 인가하면 된다.4비트로 표시된 숫자 중에는 BCD(binary coded decimal)도 있을 수 있다. ... 실험순서1) 그림 7-5는 2진수를 Excess-3 코드로 변환하는 회로를 부분적으로 완성한 설계이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.11.20
  • AST를 다시 UCODE로 제작하는 프로그램
    code//현재 노드의 처리.switch (ptr->token.number){case ADD_ASSIGN: emit0(add); break;case SUB_ASSIGN: emit0 ... (lhs);elserv_emit(lhs);// step 3: code generation for right hand side//코드 생성에서 자식의 brother 처리if (rhs- ... ;// step 2: code generation for repeating part//코드 생성에서 반복 부분.if (lhs->noderep == nonterm)processOperator
    리포트 | 42페이지 | 2,000원 | 등록일 2010.11.24
  • Maximum Power Transfer(예비)
    컬러코드가 1kΩ으로 표시된 저항의 저항값을 측정하여 Table 21-3의 첫 번째 열에 기록한다. 이 값이R _{C}이 며 B2 과정에서 이 저항이 사용된다.B2. ... 1개470Ω 1개1kΩ 1개2.2kΩ 1개10kΩ potentiometerSPST switchDPST switch- 실험과정A. ... Measuring Power in a DC CircuitA1. 전원을 끄고 스위치 S1을 연채로 다음 그림과 같은 회로를 구성한다.A2.
    리포트 | 5페이지 | 1,500원 | 등록일 2015.02.03
  • [실험 9] OPAMP
    장치측정 단자 / 입력 단자 : 탐침 코드를 꽂는 콘센트코드 : 기기를 전기회로에 연결하는 도선을 감싸고 있는 유연한 전선자동 / 수동 전환 스위치 : 각각의 기능에 따라 자동 또는 ... 성질을 이용하면 V(in)과 V(f)[feedback]은 같은 전압이라고 할 수 있다. ... ---------------------------------------------------각각 1개씩⑦ LSI741C OP-AMP ---------------------------
    리포트 | 8페이지 | 1,000원 | 등록일 2016.11.11
  • 서강대학교 마이크로프로세서응용실험 5주차결과
    -2명령어 체계는 code size는 줄이고 성능은 비슷하게 유지하기 위해 고안되었다. ... Thumb branch, but offset encodable in 32-bit Thumb branch이것을 통해 알 수 있는 것은 conditional execution이 있는 ... 이와 비슷한 기능으로 C언어에서 switch-case 문의 경우, case옆의 (index)를 바꾸어 여러 가지 경우에 맞는 명령을 수행할 수 있다.
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.02
  • DCMCT를 이용한 모터저항과 모터 토크 상수 측정 실험
    제어기는 C와 같은 코드나 SimulinkSystemBuild, 랩뷰와 같은 그래픽디자인 툴을 이용하여 설계된다.▶ 실험장비는 DC모터와 PIC 마이크로컨트롤러로 구성되어 있고, 모든 ... 실험 결과5-1 모터 저항 측정 결과Sample:iV _{m}(i)[V]Offset in MeasuredCurrent :I _{bias} (i)`[A]00-0.003Sample:iV ... 다시 LED3가 깜빡거리고 LED2가 켜져야 한다.③ DCMCT 사용자 스위치(2개의 LED옆에 있는 푸시버튼)을 누른다.
    리포트 | 9페이지 | 1,000원 | 등록일 2018.11.22
  • GeekOS Project3- System call 추가, Scheduling 기법, Process의 동기화(Semaphore)
    소스 & 주석1) Scheduling 관련 코드[syscall.c] - Sys_SetSchedulingPolicy(어떤 scheduler를 사용할지 정한다.)static int Sys_SetSchedulingPolicy ... 마음을 가다듬고, ppt에 나와있는 code파일을 프린트 하여 꼼꼼히 살펴 보았다. kthread.c 파일을 살펴보고 있는데, GeekOS를 실행했을 때 나타났던 메시지가 Ge ... (s_runQueue);int i;//Print("policy = %d, quantum = %d\n", policy, quantum);switch (g_schedul_policy)
    리포트 | 16페이지 | 1,000원 | 등록일 2013.12.12 | 수정일 2013.12.15
  • 34. Peak, RMS, and Average Values of AC(예비)
    전원 코드를 뽑고 스위치를 끈 상태에서 다음 그림과 같은 회로를 구성한다. 가변 변압기의 출력을 가장 낮게 설정하고 스위치 S1을 연다.A2. ... 플러그를 120-V, 60-Hz에 꽂고 line 스위치를 닫고 스위치 S1을 닫는다. 가변 변압기 출력을 35V로 조정한다. 이 전압을 A과정 내내 유지한다. ... 최댓값과V=V _{M} `sin theta 이 공식을 통해서 각theta 에서의 교류전압값을 구해 낼 수 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2015.02.03
  • Pharmacode System 사용 방법
    Bar code는 읽지만 다른 코드로 인식 : Bar code 인쇄 상태를 확인 한다.Pharmacode Sensor setting 상태 점검CONTROLLEDSOP No. : PM ... Key Switch 위치): Programming mode SET (Reference code 입력시 Key switch 위치)5. ... Pharmacode sensor head settingPharmacode Sensor head 부분은 감도를 조정하는 조정자가 없으므로“By-passed” 상태에서Bar code
    리포트 | 9페이지 | 2,000원 | 등록일 2010.09.22
  • 통신실험예비(Unit8)
    실험목적You will be familiar with the common sources of noise and distortion in a PCM system. ... 이는 PCM encoder가 오직 유한개의 code word들을 생산하기 때문에 일어난다. ... 각 sample된 진폭은 사용가능한 code word들 중 하나에 의해 표현된 level로 Quantization 된다.
    리포트 | 12페이지 | 1,500원 | 등록일 2013.11.12
  • 엘리베이터 분석
    개폐장치ⓗ비상정지장치리미트 스위치테일코드리미트 스위치ⓘ완충기조속기ⓖ가이드 로라ⓔ 카균형 추레일ⓗ비상 정지장치ⓕ균형추조속기조속 인장차ⓐ제어반(control system)ⓑ권상기ⓒ 로프 ... 개폐장치ⓗ비상정지장치리미트 스위치테일코드리미트 스위치ⓘ완충기조속기ⓖ가이드 로라균형 추레일ⓗ비상 정지장치ⓕ균형추조속기조속 인장차ⓐ제어반(control system)ⓑ권상기ⓒ 로프ⓓ ... 카 벽의 일부를 투명창으로 제작해 탁 트인 전망을 제공함으로써, 호텔, 쇼핑센터, 오피스빌딩, 전망타워등 적용됨.02_엘리in elevator- TWIN은 두 개의 엘리베이터가 하나의
    리포트 | 64페이지 | 3,700원 | 등록일 2015.06.17 | 수정일 2022.01.07
  • 1주차 예비보고서(마이크로컨트롤러 개요)
    선택적인 Boot code section(use 발진용 증폭기 입력 및 출력단자?V _{CC}(Pin No. 21, 51;) : 전원 입력 단자? ... 프로그램 메모리 : 프로그램 코드를 저장하고 실행시키기 위해 필요한 메모리? ... 포트 C(PC7~PC0 : Pin No. 35-42) : 8Bit 양방향 입출력 단자. 외부메모리를 둘경우엔 주소버스(A15-A8)로 사용?
    리포트 | 16페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • (디지털도어락) - 마이크로컨트롤러 설계 연구보고서
    Theoretical methods is Switches, LCD, and the application information, a combination of a motor learned ... Main door locks are usually used in Main house or exit. ... Atmega128 and lcd, switch, motor was used.
    리포트 | 4페이지 | 4,000원 | 등록일 2014.12.23
  • 전전프_2주차
    -출처 : winapi.co.krC의 배열은 첨자 번호가 0부터 시작합니다. 그래서 in tar[5]의 배열의 첫 번째 요소는 ar[0]이고 이것을 헷갈리면 안됩니다. ... 또한 새롭게 배운 함수나 그 외의 coding에 사용했던 문법 등에 대한 구사 방법을 익히고 그것에 대해 정리한다. )문제1. 일단 다차원 배열에 대한 자세한 이해가 필요합니다. ... 목표 : 함수를 사용할 줄 아는가와 call by value을 이해하는가 switch~case문의 활용문제3.
    리포트 | 26페이지 | 3,000원 | 등록일 2011.07.20
  • 카이스트 전자공학실험2 실험3 Digital Design Using Microcontroller (1st week) 결과보고서
    따라서 error가 발생하게 된다.< 소스 코드 및 주석 ① - Main code>#include #include "Cmpt51.h"sbit TIMER0_BUTTON=P3^4;sbit ... 만약 linking과정이 없다면 기계어로 번역은 하지만 모르는 함수가 있기 때문에 code를 읽을 수 없다. linking이 있어야만 모르는 함수의 code를 포함시켜서 제대로 동작할 ... 속도가 느려진다거나 시간이 안 맞을 때에는 스위치가 눌러졌는지 확인을 못할 수도 있다.
    리포트 | 15페이지 | 2,500원 | 등록일 2011.11.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:16 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대