• 통큰쿠폰이벤트-통합
  • 통합검색(686)
  • 리포트(643)
  • 시험자료(29)
  • 자기소개서(6)
  • 논문(5)
  • 방송통신대(2)
  • 이력서(1)

"code-switchin" 검색결과 401-420 / 686건

  • 예비보고서-Exp 4. Digital Design Using Microcontroller (2nd week).hwp
    a period is fixed on 100, write out the pseudo-code for generating 50Hz and 100Hz sine wave.한 주기 당 sampling의 ... counter == 0;}(5) In this experiment, between the fix of the number of samples in a period and the fix ... ((sin(2*Pi*counter/100)+1)*127.5);if(counter == 100) counter == 0;}II) 100Hz의 경우#include #include "CMPT51
    리포트 | 8페이지 | 2,000원 | 등록일 2010.10.11
  • 차량 운동 설계 보고서
    θ = θ, cos θ = 1 로 대치 가능하다다. ... http://auto.naver.com/car/lineup.nhn? ... .◆ 비스코스-커플링(Viscous-Coupling)식 차동제한장치 좌, 우륜의 회전속도 차이에 따라 차동제한력을 발생하는 것으로 내측 플레이트(Plate)와 외측 플레이트 사이의
    리포트 | 64페이지 | 3,000원 | 등록일 2011.12.22
  • 오실로스코프 및 분석기에대하여
    전압과 장비의 전압선택이 일치하는지 반드시 확인하시기 바랍니다.(2)전원코드연결구전원코드를 연결할 때 안전하게 안으로 밀어 넣어 밀착 시키십시오. ... 전면판전면판(1)GATE 시간 표시기 (G.T)내부면판(1)사용 전압 선택스위치사용하시는 전압에 맞게 전압 선택스위치 를 조정하십시요.- 주 의 -장비를 사용하시기 전에 사용하시는 ... AC-GND-DC :채널2의 수직입력절환 스위치 동작은 8과 동일.14. CH2 or Y : 채널2의 수직축입력단다15.
    리포트 | 16페이지 | 1,500원 | 등록일 2009.06.30
  • Ping-pong(핑퐁) Machine 설계코드및 분석
    Machine를 설계하기 위해 entity-name은 ping_pong, architecture-name은 Behavioral로 지정한 VHDL module source code를 ... 이러한 source coding이 끝나면 Lamp Ping-pong Machine의 특성이 나타나도록 model sim에서 강제적으로 signal의 값을 대입하여, 이를 통해 구현한 ... 마지막으로 키트를 이용하여 구현한 Lamp Ping-pong Machine이 주어진 조건을 만족하는지 확인하는 것으로 projert( rst_n, clk : in std_logic;
    리포트 | 14페이지 | 2,000원 | 등록일 2007.11.16
  • 간호 안전사고
    Extension code 는 가급적 사용을 피한다 . 전원코드를 뽑을 때 줄을 잡아당기거나 물 묻은 손으로 만지지 말고 사용하지 않을 시는 플러그를 뽑아놓는다 . ... Safety Care in ICUS afety Care for the ICU Patients일반적인 간호사고 예방을 위한 노력 여유있고 명랑한 기분으로 업무에 임한다 . ... 플러그를 뽑을 때는 미리 기기의 스위치를 끄도록 한다 .( 화재발생 위험 ) 사용하지 않는 기기는 사용중단 즉시 환자에게서 제거한다 .
    리포트 | 30페이지 | 1,500원 | 등록일 2010.06.29
  • English Language Pedagogy
    Grammatical competence ― linguistic code ― accuracy, precision② Sociolinguistic competence ― cross-cultural ... switch to occur7) Negotiation meaning and exploiting ambiguity- meaning is negotiable and it make a ver ... differences③ Discourse competence ― cohesion in form, coherence in thought④ Strategic competence ― negotiation
    시험자료 | 13페이지 | 2,000원 | 등록일 2010.11.11
  • matlab 강의자료
    즉 행렬 계산시 일괄적으로 데이터 처리가 가능한 언어입니다. 2.MATLAB 이용범위 1)수학과 관련된 계산 2)알고리듬 개발 ( Text coding,Graphical coding ... 소스코드들이 Mathworks사(http://www.mathworks.com/)에서 제공됨 심볼로 이루어진 수식을 계산하는 기호계산 기능 해양관련 SEA-MAT홈페이지(http:/ ... age age =100 cost = 0 End 결과: how; switch y case 0 disp('입력값은 짝수!')
    리포트 | 31페이지 | 9,000원 | 등록일 2007.06.07 | 수정일 2022.11.10
  • Atmega128를 이용한 LED잔상기
    Instruction Per Second)의 성능을 보인다.AVR의 장점은 C 언어뿐만 아니라 어셈블리 언어에서도 보다 더 최적화 된 코드 크기를 가지고 지금까지의 일반 프로세서보다 ... 즉, 홀 전압은 전류와 자기마당의 사이각 θ 에 sinθ 로 의존한다.3. ... 주어 스위치 역할을 하여 LED가 움직이는 현상을 고칠 수 있었다.
    리포트 | 31페이지 | 10,000원 | 등록일 2013.11.25 | 수정일 2017.04.14
  • 기계공학실험 오실로스코프와 function generator 결과보고서
    PROBE 손잡이에 달린 주홍색 작은 나사가 보정용 TRIMMER이며, 왼쪽에 보이는 스위치가 감쇄비를 설정하는 스위치이다.(10) 이 상태에서 AC-GNC-DC (11) 스위치를 ... MODE 스위치 (19)는 DUAL에 설정한다.(5) CH2의 AC-GND-DC 스위치 (12)를 AC로 맞추어 놓는다.(6) TRIGGER SOURCE (28)을 CH1에 놓기도 ... 이때 PROBE 감쇄비가 10X로 설정되어 있으면 10배를 곱한다.(5) 100Hz 이하의 정형파나 1KHz 이하의 구형파를 측정할 때는 AC-GND-DC 스위치를 DC에 놓는다.라
    리포트 | 10페이지 | 1,000원 | 등록일 2009.12.09
  • 스노우보드 용어 설명
    보드 앞끝의 구부러진 부분을 말한다.소프트다.스위치 스텐스(Switch stance) : 보드를 타고 내려오면서 앞 뒷발을 바꿔 방향 바꾸기.스케이트(Skate) : 앞쪽 발은 보드에 ... 몇 번의 플레잉을 해도 스타트하지 못한 경우에 사용된다.Fakie : 뒤로 가기 스위치스탠스라고도 함.Free-riding 카빙이나 여러 가지 스타일을 혼합하여 산을 타고 내려오는 ... 한편 프리스se) : 스노우보드의 바닥으로 일반적으로 P-TEX로 만들어짐.보더 크로스(Boarder cross) : 4인이 한조가 되어 하는 경기로 관문과 점프 등을 통과하는 경기임.보더스
    리포트 | 3페이지 | 1,500원 | 등록일 2012.05.23
  • 주민등록번호 검증 소스
    () -48; //read 로 입력받을시 아스키코드값으로 입력되므로 48을 빼줌}bu.readLine(); //엔터값을 처리해줌if(ju[2] * 10 + ju[3] > 12){ / ... ;System.out.println();continue;}// 주민등록번호가 맞는지 검증하는 코드int aa = 0, bb = 2;float tem = 0.0f, tem2 = 0.0f ... ;for(int i = 0; i < ju.length -1; i++){if(i ==6){continue;}aa += ju[i] * bb;bb++;if(bb == 10){bb = 2;
    리포트 | 1,000원 | 등록일 2009.09.15
  • VHDL를 이용한 Digital Clock의 설계
    Declaration of entity named MODE_GENport ( CLK, SW1, SW2, SET : in bit; -- CLK, SW1, SW2, SET is input ... STATE, SET) -- If CLK or STATE or SET changes, process statement is started.begin -- start of process ... Problem statement① Describe what is the problem.- 입력을 CLK(System Clock & Time Base), SW1, SW2(모드 설정 switch
    리포트 | 34페이지 | 10,000원 | 등록일 2010.06.24
  • C언어로 ATM기기 설계
    ☞정렬은 잔고순, 입금액순, 출금액 순으로 할 수 있게한다.step3 : Pseudo-code에 제한 사항 적용0~4 사이의 수만 scanf 함수로 입력 받는다.0 은 종료 시키고 ... 그 외의 다른 수는 에러문으로 처리한다..step4 : Pseudo-code에 제한 사항 적용☞Phase1 : 입력 받을 변수를 2차원 배열로 선언한다. ... 그리고 금액을 입력 받을 수 있게 한다Max 값을 define으로 주어서 값을 변경하기 쉽게 한다.입금과 출금 ,계좌 이체, 정렬을 각각의 함수로 만든다.step2 : Pseudo-code
    리포트 | 8페이지 | 2,000원 | 등록일 2007.12.23
  • 스노우보드와 관련한 모든 용어 총정리! 스노우보드 용어 총정리!
    *스위치 스텐스(Switch stance) : 보드를 타고 내려오면서 앞 뒷발을 바꿔 방향 바꾸기. ... *베이스(Base) : 스노우보드의 바닥으로 일반적으로 P-TEX로 만들어짐*P-tex : 대부분의 스노우보드 바닥을 만들 때 쓰이는 플라스틱 종류. ... *리쉬 코드 : 스노우보드가 발에서 떨어졌을 때 보드가 흘러내려 가지 않도록 붙이는 것. 일반적으로 앞발에 장착한다.
    리포트 | 7페이지 | 12,000원 | 등록일 2012.11.04
  • 주차요금계산프로그램
    ";cin >> imin;cout < "Hour vehicle left lot (0 - 24)? ... ";cin >> car;cout < "Hour vehicle entered lot (0 - 24)? ... 표 5-12의 데이터로 프로그램을 여섯 번 실행하여라.표 5-12 프로젝트 55의 데이터TestTypeHour inMinute inHour outMinute out1C124014222B8208403T203594C124016225B82014206T20120소스코드
    리포트 | 7페이지 | 1,500원 | 등록일 2009.12.02
  • VHDL코드를 이용한 spartan-3 보드 구현(spartan 보드 사용법)
    Specify the experiemental results obtained in the class in detail.< 이번 실험에 사용 되었던 SPARTAN-3E Board의 모습 ... Specify the simulation results obtained in the class in detail.Functional simulationPreLab에서 했던 대로 오른쪽 ... 즉, VHDL코드를 편집하고, 저장, 가져오기 등 HDL editor에 관한 것과 설계된 코드의 기능적인 관점을 시뮬레이션 하기위한 Synthesis와 실제 시간적인 관점 시뮬레이션
    리포트 | 7페이지 | 2,000원 | 등록일 2008.06.01
  • 프로세스Process에 관한 조사
    ) priority 방식(static, dynamic priority 바탕)b) deadline 방식(프로세스의 마감시간을 미리 알고 시간내 처리)c) FIFO(first-in-first-out ... 정보를 담고 있는 context의 switching이 요구된다. ... /O processor에서 처리가 되고, 이 기간 동안 process는 waiting state가 되어 Control Block(PCB)Process table프로세스는 프로그램 코드
    리포트 | 8페이지 | 1,000원 | 등록일 2010.09.16
  • 마이크로 컨트롤러 결과 보고서
    Port C (PC7~PC0) (35~42)- 포트C는 내부 풀업 저항을 갖는8비트의 양방향 입출력 포트이다. ... 가지고 있다.- 프로세서 내부의 부트 프로그램에 의한 ISP(In-System Programming) 프로그램- 4k 바이트의 EEPROM : 100,000 쓰기/지우기 가능- 4k ... 비휘발성의 프로그램, 데이터 메모리- 내부의 프로그램 가능한 128k byte의 Flash 내장- 10,000번 쓰기/지우기 가능-독립적인 락비트를 가진 추가적인 부트 코드 영역을
    리포트 | 7페이지 | 1,000원 | 등록일 2010.10.20
  • 실험 4. 멀티플렉서와 디멀티플렉서
    and co-workers in their professional development and to support them in following this code of ethics.위 ... Tri-state buffer가 일종의 1 채널 switch 역할을 함으로 Mux를 구성할 수 있다.Tri-state buffer 74HC125의 진리표AnOEBXHighHIGH-ZHighLowHighLowLowLowSY0D01D1 ... ourselves to the highest ethical and professional conduct and agree:0. to accept responsibility in making
    리포트 | 12페이지 | 2,000원 | 등록일 2009.03.10
  • 램프핑퐁머쉰 설계
    다음에 state를 00에서 스타트 스위치 지정과 토탈 점수에 대한 서브권을 지정한다. 그리고 게임이 종료되었을 때 바로 시작할 수 있게 코드를 지정한다. ... 그리고 시뮬레이션 테스트 벤치 코드는 따로 만들지 않고 모델심의 Force와 clk를 사용해서 파형을 제대로 확인하겠다. ... 그리고 shift_clk는 분주된 클럭 0.2초로 움직인다.begin--segment displayprocess(sel)begincase sel iswhen "000" =>digit
    리포트 | 16페이지 | 2,000원 | 등록일 2009.01.09
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:01 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대