• 통큰쿠폰이벤트-통합
  • 통합검색(686)
  • 리포트(643)
  • 시험자료(29)
  • 자기소개서(6)
  • 논문(5)
  • 방송통신대(2)
  • 이력서(1)

"code-switchin" 검색결과 421-440 / 686건

  • [논리회로실험] RAM (결과)
    ME와 WE를 +5V에 연결한다.6) 7489 Binary-Gray 코드변환표 2에서 column A에는 memory location이 있고 column B에는 기억될 데이터 값이 ... 기억소자에 데이터를 넣기 위해서는 두 가지 일을 해야 한다.1) 적당한 선택 스위치(WRITE SELECT A, In0 또는 WRITE SELECT B, In1)를 +5V에 연결함으로써 ... 실험방법 및 결과(1) 2-bit RAM (그림 3)그림 3. 2-bit random-access memory모든 스위치는 접지로 초기화시킨다. memory를 동작시키기 위해서 처음에
    리포트 | 6페이지 | 1,500원 | 등록일 2009.03.20
  • MCU의 역사 및 ATmega128의 구동 원리
    메모리와 데이터 메모리- 28K Bytes 의 In-System Programmable Flash 메모리- 프로그램의 실행 코드 저장 영역- 최소 10,000번 이상의 쓰기/삭제 ... 외부 데이터 메모리 추가 기능- 소프트웨어 보안성을 위한 프로그램 잠금 기능- ISP(In-System Programming)를 위한 SPI 인터페이스 제공▶ 특별한 마이크로 컨트롤러 ... 지금의 dual core CPU 까지 발전하게 되며 제어용 CPU는 Intel8051 시리즈, 모토로라의 68xx 시리즈 등으로 발전되었습니다.
    리포트 | 5페이지 | 1,500원 | 등록일 2012.03.12 | 수정일 2016.03.10
  • 인터넷 문제점
    California의 Silicon Valley의 기업: 'Plugged In'아이들의 정보화 교육에 공헌. ... /usintstatis.htm http://www.koreamita.org/data-25.htm http://central.prok.or.kr/computer/internet_census.html ... 익명성의 무기화 사이버 테러기타 문제 해결을 위한 노력FBI산하의 Cyber Investigation 목적 1 컴퓨터 불법침입, 악성 코드 유포 근절 2 성매매나 성범죄자의 색출,
    리포트 | 24페이지 | 1,000원 | 등록일 2012.11.29 | 수정일 2016.08.03
  • 오실로스코프와 Function Generator 실습
    TRIMMER를 조절한다.(10) AC-GNC-DC (11) 스위치를 GND네 놓고 휘선을 확인한다.3) 파형 측정방법? ... 설정된 값을 곱하면 최대 진폭값이 된다.(5) 100㎐이하의 정현파나 1㎑이하의 구형파를 측정할 때는 AC-GND-DC 스위치를 DC에 놓는다.4) 파형 측정 실습(1) 악어클립이 ... (19)는 DUAL에 설정한다.(5) CH2의 AC-GND-DC 스위치 (12)를 AC로 맞추어 놓는다.(6) TRIGGER SOURCE (28)을 CH1에 놓기도 하고 CH2에
    리포트 | 8페이지 | 1,000원 | 등록일 2010.04.06
  • Time 표시기 (디지털실험 텀프로젝트)
    이때 IN4148 다이오드에 의해서 (+)주기에서는 TR이 동작하고 (-)주기에서는 차단되고 약 72kHz의 음을 스피커를 통해 내보내게된다.회로 동작원리 (펄스발생회로)다음 회로는 ... 나타내며 2진코드를 10진코드로 변환한 수를 출력으로 내보낸다.Ic 소자 데이터시트 (TLP521)포토커플러 발광 다이오드를 이용하여 다이 오드에서 발생되는 빛을 이용해 맞은편 TR을 ... 이때 0000~1001까지 카운팅 하게 된다. 2,3번핀에 연결되어 있는 스위치는 두단자의 값이 High가 될때 카운팅 회로를 리셋하는 단자인데 스위치를 눌러줄때 2,3번 단자에 High
    리포트 | 17페이지 | 3,000원 | 등록일 2009.12.01
  • 키친타이머 만들기
    전자공학실험3Term project 키친타이머 만들기전자전기컴퓨터학부 B2005037312이상조※source code; ********** STANDARD HEADER FILE ** ... 누르는 것만으로 작동할 수 있다.전면 스위치를 한번 누를 때마다 설정 시간이 변경된다. ... INTERRUPT FLAG; ********** OPTION BITS 선언 **********WEQU0; W 변수를 0으로 선언FEQU1; F 변수를 1로 선언;#DEFINEKEY_IN_FLAGKEY_FLG
    리포트 | 12페이지 | 1,500원 | 등록일 2010.06.24
  • 물리학실험2. 오실로스코프 사용법 예비/결과
    저주파 발생기 참고)(1) 저주파 발생기[그림 C-1]ⓐpower스위치① : offⓑ ④,⑤,⑥,⑦,⑧의 다이얼을 중앙으로 고정한다.ⓒ function⑩에서 왼쪽 끝의 sin 파형 ... : 중앙ⓝ TRIGGER LEVEL⑨ : 중앙(3) 전원 코드를 전원에 연결한다.(4) POWER 스위치? ... 를 조정하여 일치시킨다.)(7) 수평-POSITION⑩을 돌려 휘선을 가장 왼쪽눈금과 일치시킨다.(8) 저주파 발생기를 전원에 연결한다.(9) 그림C-1의 ⑬번 단자와 그림B-1의
    리포트 | 5페이지 | 1,500원 | 등록일 2010.04.07
  • C- language 스캐너 구현
    256 // BUFLEN is length of the input buffer for source code lines#define MAXRESERVED 6 // MAXRESERVED ... commentselse if( c == '/' )state = INCOM1;// one character symbolselse{state = DONE;switch( c ){case ... = CO;break;default :currentToken = ERROR;break;}// end switch( c )}break;// commentscase INCOM1:if(
    리포트 | 1,000원 | 등록일 2008.09.06
  • 연세대 전기전자 기초실험 09년도 A+ 레포트 예비 12
    normally switched off. ... 2]=c;Seg_Out[3]=d;Seg_Out[4]=e;Seg_Out[5]=f;Seg_Out[6]=g;endendmodule7 segment module code? ... divide-by-2 counter.② Think about problems that cause the errors in digital clock and find ways to solve
    리포트 | 7페이지 | 1,000원 | 등록일 2009.12.17
  • Labview를 이용하여 DAQ(Data Acqusition Systems)시스템을 구성하고, 구성된 Waveform Graph 를 통하여 계측기 출력신호를 측정하는 실무를 익히는 데 있다.
    장비 뒤쪽에 있는 ON 스위치를 누른다. ... 프런트 패널 윈도우는 VI코드 인터페이스이고, VI로 구성된 두 개의 LabVIEW 윈도우 중의 하나이다. 블록 다이어그램은 그래픽 형태인 프로그램 코드를 포함한다. ... (아날로그로는 펄스로 뽑아서 sin, 사각, 삼각파형으로 봤고 디지털로는 프론트 패널에 표시되는 Table을 통해서 보았다) 실제 오실로스코프는 부피도 크며 다루기도 복잡한데 프로그램을
    리포트 | 15페이지 | 1,500원 | 등록일 2011.04.03
  • [기전공학실험]기전공학실험레포트(A+평가자료)
    비디오테이프 녹화기(VTR) 등의 자계 측정이나 자계를 매개로 해서 전류, 회전 수, 변위 등의 검출에 이용되고 있다.컬러코드(color code)를 읽는 방법은 아래의 그림과 같이 ... Q1과 Q2-ON ⇒ 모터 구동3) 스위치 2-ON ⇒ Q2, Q3 - ON ⇒ 모터 구동(스위치 1의 경우와 반대방향으로 회전)[5] 실험 회로도Fig 13. ... 이와 반대로 built-in potential과 반대 극 방향으로 외부 전압을 걸어주면, 재결합을 다시 시작한다.
    리포트 | 23페이지 | 3,000원 | 등록일 2008.03.06
  • [nachos]나초스 3.4와 4.0비교 분석
    In order for SWITCH()// to go to ThreadRoot when we switch to this thread, the return addres// used in ... ■ nachos 3.4와 4.0비교◎ 디렉토리 비교- nachos-3.4 디렉토리- nachos-4.0 디렉토리◎ thread.h3.44.0context switch할 때 저장될 CPU ... registers,// before jumping to user code};#endif // ADDRSPACE_H◎AddrSpace::Addrspace 비교- 3.4의 AddrSpace.h
    리포트 | 14페이지 | 3,000원 | 등록일 2006.03.09
  • 디지털멀티미터, 오실로스코프, 브레드보드에 대해
    관측할 수 있다.TV 신호의 수직성분의 동기(그림(b))를 위해 TRIGGER MODE 스위치를 TV-H로 선택TV 신호의 수평성분의 동기(그림(c))를 위해 TRIGGER MODE ... HOLD OFF : NORM(최대 반시계 방향)② 전원 코드를 전원 커넥터에 연결한다.③ POWER 스위치를 누르면 POWER 램프가 켜지고 약 30초 후에 INTEN을 시계 방향으로 ... 신호를 트리거 신호로 사용함LINE - AC 전원을 트리거 신호로 사용함EXT - EXT TRIG IN 단자에 가해진 신호를 트리거 신호로 사용함?
    리포트 | 9페이지 | 3,000원 | 등록일 2009.11.04 | 수정일 2021.01.24
  • 줄과 열에 의해 패턴이 결정되는 프로그램
    to 9) : ";cin >> size;if (size ... Quit\n\n";return ;}void getOption(int& option){do{cout < "Enter option (1 to 5) : ";cin >> option;if ... ●● SET 2 ●● SET 3 ●● SET 4 ●● SET 5 ●● SET 6 ●● SET 7 ●소스코드#include #include #include using namespace
    리포트 | 10페이지 | 1,500원 | 등록일 2009.12.02
  • [논리회로실험] RAM (예비)
    ME와 WE를 +5V에 연결한다.6) 7489 Binary-Gray 코드변환표 2에서 column A에는 memory location이 있고 column B에는 기억될 데이터 값이 ... 기억소자에 데이터를 넣기 위해서는 두 가지 일을 해야 한다.1) 적당한 선택 스위치(WRITE SELECT A, In0 또는 WRITE SELECT B, In1)를 +5V에 연결함으로써 ... 데이터 입력단 D4-D1에 데이터를 집어넣는다.c. ME와 WE를 접지시킨다.d.
    리포트 | 9페이지 | 1,500원 | 등록일 2009.03.20
  • [컴파일러]lex를 이용한 pl/0 Compiler 컴파일러 구현
    [p].f;switch (i) {case 1: t++; s[t] = code[p].a; p++; break; //litcase 2:switch (code[p].a) {case 0: ... s[base(code[p].l, b) + code[p].a]; p++");} ... ) || defined(_(c);}pl/0 Compiler 코드#include #include int norw = 20;//no. of reserved wordsint txmax =
    리포트 | 34페이지 | 1,000원 | 등록일 2005.11.14
  • 전기전자기초실험 Flip-flop and Counter Design 예비보고서
    A decimal counter may have each digit binary encoded (that is, it may count in binary-coded decimal, ... It can be used as a cycle counter of N states.Johnson counterA Johnson counter (or switchtail ring counter ... does not have the problem of always being toggled like in J-K flip-flop.1-4.
    리포트 | 11페이지 | 1,000원 | 등록일 2009.09.08
  • c언어_도서대여프로그램_레포트_자료
    #include #include #include #include "head.cpp"int in = 0;struct info{int isbn; //ISBN(도서번호)char name[ ... ISBN으로 검색\n");printf("원하시는 메뉴를 입력하세요: ");scanf("%d",&select);switch(select){case 1:printf("검색할려는 책이름을 ... 적으세요. : ");scanf("%s", name);printf("---------------------------------------\n");printf("분류코드\t 도서명\
    리포트 | 6페이지 | 1,000원 | 등록일 2010.11.16
  • [영어교육/영문피피티A+] Find broken English(콩글리쉬) - Sociocultural and Historical Factors
    Examples of Konglishoverall failure in grammar code switching: 쪽지, 버릇, 존댓말 cultural difference: lack ... and context cues in conversation. ... have a correspondent concept and translation in the adult learner's first language.
    리포트 | 25페이지 | 2,500원 | 등록일 2009.01.04
  • [공학]home srcurity system vhdl
    Reset switch(R)Clear switch(C)Ouput : Front gate melody(FM)Motion detector melody(MM)1. reset 스위치 R이 ... 이제 VHDL coding 후의 시뮬레이션 값을 다음의 결과와 비교해 줌으로써 회로의 옳고 그름을 판단 할 수 있다.VHDL coding(책 p.251의 example 7.8을 참조로 ... , reset, c, fs, ms :in std_logic;fm, mm :out std_logic);end fms_hss;architecture data_flow of fms_hss
    리포트 | 7페이지 | 1,000원 | 등록일 2006.10.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:13 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대