• 통큰쿠폰이벤트-통합
  • 통합검색(6,468)
  • 리포트(5,800)
  • 시험자료(345)
  • 방송통신대(232)
  • 자기소개서(42)
  • 논문(35)
  • 서식(10)
  • ppt테마(3)
  • 이력서(1)

"HDL" 검색결과 301-320 / 6,468건

  • 뇌심혈관 질환 관리방법 (고지혈증)
    금연: 흡연은 HDL 콜레스테롤을 감소시키고, 동맥경화성 심장질환 위험을 높임)
    리포트 | 1페이지 | 1,000원 | 등록일 2022.04.22
  • 테크플렉스 FPGA RTL 엔지니어 최종 합격 자기소개서(자소서)
    HDL로 작성한 하드웨어의 면적을 Vivado tool로 시뮬레이션하는 과정에서 목표치보다 면적이 크게 나오는 문제가 있었습니다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.02.17
  • 오픈엣지테크놀로지 NPU IP 개발 최종 합격 자기소개서(자소서)
    HDL로 작성한 하드웨어의 면적을 Vivado tool로 시뮬레이션하는 과정에서 목표치보다 면적이 크게 나오는 문제가 있었습니다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.02.17
  • 식품과 영양 레포트
    HDL은 혈관 벽에 쌓인 콜레스테롤을 실어 혈관 벽에 쌓인 콜레스테롤을 줄여주는 청소 역할을 한다. ... 콜레스테롤은 LDL 콜레스테롤과 HDL 콜레스테롤이 있다.LDL은 간에서 만든 콜레스테롤을 혈액을 따라 조직으로 운반하는 역할을 한다. ... 우리 몸의 콜레스테롤은 평균 200mg/dl 이하로 LDL이 130mg/dl 이상일 경우 관리가 필요하고 HDL은 60mg/dl 이상을 유지해야 우리 건강에 이롭다.콜레스테롤 수치는
    리포트 | 3페이지 | 1,500원 | 등록일 2020.06.28
  • 영양과 식이 - 지질 영양
    동맥경화증으로 발전하고 동맥내경이 좁아짐 현대인의 생활영양 P131 지방의 과다섭취 등의 원인으로 혈관이 막혀 유발되는 심장병관상심장병 발생 혈중 LDL- 콜레스테롤 농도↑ , HDL ... 이상지질혈증 혈액 중에 지질성분의 농도가 높거나 낮아져 있는 상태 바람직한 혈중 지질 농도 콜레스테롤 수치 : 200mg/dL 이하 LDL- 콜레스테롤 수치 : 100mg/dL 이하 HDL
    리포트 | 14페이지 | 3,000원 | 등록일 2024.04.13
  • 불안정한 혈당수치의 위험
    1807:00216.012:00245.017:00153.0194.021:00279.0182.0항목정상범주(단위)결과입원일7/147/18Glucose70~100mg/dL189▲210▲HDL-cholesterol40 ... 00175.0146.012:00185.0153.017:00170.0177.021:00185.0206.02.항목정상범주(단위)결과입원일7/147/18Glucose70~100mg/dL189▲210▲HDL-cholesterol40
    리포트 | 6페이지 | 2,000원 | 등록일 2024.01.23
  • 대사증후군
    (한국인의 경우 허리둘레 남 자 90㎝, 여자 85㎝ 이상)-고지혈증; 혈액 내 중성지방이 150㎎/㎗ 이상인 경우-낮은 HDL 콜레스테롤혈증; 혈중 HDL이 남자 40㎎/㎗, 여자 ... 콜레스테롤혈증; 혈중 HDL이 남자 40㎎/㎗, 여자 50㎎/㎗ 이하-고혈압; 혈압이 130/85㎜Hg 이상인 경우-혈당장애; 공복혈당이 100㎎/㎗ 이상, 또는 과거에 당뇨병을 ... 콜레스테롤 혈증; 혈중 HDL이 남자 40㎎/㎗, 여자 50㎎/㎗이하-고혈압; 130/85㎜Hg 이상-혈당 장애; 공복혈당이 100㎎/㎗ 이상, 또는 과거에 당뇨병을 앓았거나 현재
    리포트 | 7페이지 | 1,000원 | 등록일 2020.05.10
  • (임상영양학) 이상지질혈증의 진단기준과 위험 요인을 조사하여 설명하시오
    +중성지방)이 높은 경우④ HDL콜레스테롤이 낮은 경우그림1. ... 이상지질혈증은 혈액 내 중성지방과 총 콜레스테롤, LDL 콜레스테롤, HDL 콜레스테롤의 농도를 측정하여 진단한다.표1. ... 혈액 속 콜레스테롤 수치에 이상이 있는 다음의 경우는 모두 이상지질혈증에 해당한다.① LDL 콜레스테롤이 높은 경우② 중성지방이 높은 경우③ 총 콜레스테롤(LDL 콜레스테롤+HDL콜레스테롤
    방송통신대 | 7페이지 | 2,000원 | 등록일 2020.07.31
  • 관상동맥질환(CAD)케이스 스터디 간호과정2개
    Chol: 222mg/dL TG: 400mg/dL LDL: 145mg/dL HDL: 22mg/dL- 심근효소 CK-MB: 상승(정상치 ... 허혈성 심질환(IHD)· 원인: 혈관이 좁아지거나 완전히 폐쇄돼서 심근이 손상· 위험 요인 : 높은 LDL, 낮은 HDL, 높은 중성지방과 총콜레스테롤 수치, 고혈압, 당뇨, 비만,
    리포트 | 3페이지 | 3,000원 | 등록일 2020.09.28 | 수정일 2023.09.14
  • 식품생리활성과학, 혈관의 적, 콜레스테롤과 중성지방 레포트
    이상지질혈증- 총콜레스테롤, 중성지방, LDL콜레스테롤이 기준치보다 증가,HDL콜레스테롤이 기준치보다 저하된 상태- 국내 사망원인 2위인 심혈관 질환의 주요 원인 중 하나- 중요성 ... , 담즙산 생성재료, VitD 합성 요소- 종류〮 VLDL콜레스테롤(초저밀도지질단백질)〮 LDL콜레스테롤(저밀도지질단백질) : 간에서 세포로 전달된 콜레스테롤, 나쁜 콜레스테롤〮 HDL콜레스테롤 ... 몸 밖으로 배출⇒ 부족한 담즙산 생성 → 콜레스테롤 감소심혈관질환을 예방하기 위한 방법- 일주일 150분 이상 규칙적으로 운동하기〮 운동 : 혈중지방 분해하는 효소 활성화됨 → HDL콜레스테롤
    리포트 | 3페이지 | 1,000원 | 등록일 2020.10.14
  • VSIM Skyler Hansen 당뇨 2단계 pre 퀴즈+간호과정
    내당능 장애, 고혈압, HDL ... (해당 항목 모두 선택)a) HDL 콜레스테롤> 36mmolb) 감소된 중성 지방c) 가족력d) 비만e) 고혈압[문제 풀이]가족력, 비만, 인종/민족, 연령, 이전의 공복 혈당 또는 ... (Select all that apply.)a) HDL cholesterol >36 mmolb) Decreased triglyceridesc) Family historyd) Obesitye
    리포트 | 12페이지 | 2,500원 | 등록일 2022.01.28
  • 지역사회간호학, 고지혈증, A+ 받음, 간호진단3개 중 간호과정1개, 문헌고찰 등 자세하게 되있음
    고밀도지단백 콜레스테롤(HDL cholesterol) : 혈관 벽에 쌓인 콜레스테롤을 간으로 운반, 동맥 경화를 예방하는 효과? ... 등3) 증상 및 합병증대부분 고지혈증 자체의 자각 증상은 없지만 고지혈증 상태가 지속 되면 심각한 합병증이 발생한다.- 대표적인 합병증 -4) 진단검사- 혈액 검사 - 중성지방, HDL-콜레스테롤
    리포트 | 3페이지 | 2,500원 | 등록일 2023.01.01
  • 혈전 예방 교육 간호
    -좋은 콜레스테롤로 불리는 HDL 콜레스테롤을 높여야 한다. HDL은 혈중 지질 성분을 밖으로 내보내 혈관을 깨끗하게 만들어 혈전 예방에 도움이 된다. ... -등푸른 생선: 고등어 삼치 등 등푸른 생선은 오메가3 지방산이 풍부해서 섭취 시 혈관 속 기름을 몸 밖으로 내보내는 HDL콜레스테롤 수치를 높여준다, 혈관의 염증 예방에도 도움이
    리포트 | 3페이지 | 1,000원 | 등록일 2020.01.19
  • 기초영양학_주변에서 액상과당이 포함된 식품 3가지를 찾아 아래 예시와 같이 제품명, 제품 사진, 원료명 표시면을 제시하고(사진 첨부 필수) 이를 과도하게 섭취했을 경우 나타날 수 있는 영양학적 문제에 대해 설명하시오.
    반면 간에서 생성된 HDL은 좋은 콜레스테롤이라고 부르는데 말초조직에 있는 콜레스테롤을 다시 간으로 운반하는 역할을 하기 때문이다. ... HDL에 의해 간으로 돌아온 콜레스테롤은 담즙을 만드는데 재사용되고 소장으로 분비되며, 일부는 최종적으로 대변으로 배출이 되면서 체내 콜레스테롤을 낮춰준다. ... 소장에서 생성되고 중성지방을 운반하는 킬로미크론, 간에서 합성되는 중성지방을 조직으로 운반하는 VLDL, 콜레스테롤을 조직으로 운반하는 LDL, 조직에서 간으로 콜레스테롤을 운반하는 HDL
    방송통신대 | 3페이지 | 3,000원 | 등록일 2024.07.11 | 수정일 2024.09.04
  • 생활습관병의 한가지 주제를 정하여 운동프로그램을 설계하여 제시하시오.
    규칙적인 운동은 LDL 콜레스테롤 수치를 낮추고, HDL 콜레스테롤 수치를 높이는 데 기여한다. ... 규칙적인 운동은 혈중 콜레스테롤 수치를 낮추고, 고밀도 지단백(HDL) 콜레스테롤 수치를 높이는 데 기여한다. ... 규칙적인 운동은 혈중 콜레스테롤 수치를 낮추고, 고밀도 지단백(HDL) 콜레스테롤 수치를 높이는 데 도움을 준다.
    리포트 | 6페이지 | 2,000원 | 등록일 2024.05.16
  • 기초전자회로실험 - Moore & Mealy Machine 예비레포트
    Vivado Design Suite 2014.4 :Xilinx에서 HDL 디자인의 합성 및 분석을 위해 제작 한 software suit이다.3. ... Xilinx :ISE (Integrated Synthesis Environment)는 Xilinx에서 HDL 설계의 합성 및 분석을 위해 제작 한 소프트웨어 도구이다.4. ... 속하고, 사용자 측에서 보면 사용자 요구에 맞게 프로그래밍하여 사용할 수 있으므로 주문형 반도체 범주에 속한다.비메모리 반도체의 일종으로, 회로 변경이 불가능한 일반 반도체와 달리 HDL
    리포트 | 7페이지 | 2,000원 | 등록일 2021.02.27
  • 보건의료 정책사업 대사증후군
    위험인자는 허리둘레 남자 35인치(90cm) 이상, 여자 33인치(85cm) 이상, 혈압 130/85mmHg 이상, 공복혈당 100mg/dl 이상, 중성지방 150mg/dl 이상, HDL ... 대사증후군 위험요인별 유병률 변화를 살펴보면 처음 등록 시 혈압(39.3%), HDL 콜레스테롤(37.6%), 혈당(34.8%), 중성지방(31.5%), 허리둘레(30.8%)순으로 ... 위험요인 유병률이 나타났다. 12개월 이후 위험요인별 유병률 감소 비율은 HDL 콜레스테롤 11.0%, 혈압 6.2%, 중성지방 2.3%, 허리둘레 2.0%, 혈당 0.7%순으로 위험요인의
    리포트 | 5페이지 | 1,000원 | 등록일 2021.05.05 | 수정일 2021.05.07
  • 시립대 전전설2 A+ 6주차 예비레포트
    증가/감소시키는 회로이며, 주파수 분주기, 타이밍 제어 신호 생성 등에 활용동기식 계수기는 모든 플립플롭이 공통 클럭에 이하여 구동되어 설계가 용이하고 동작이 빠름Verilog HDL의 ... 강의 교안(Lab-06 Register and Counter)전자전기컴퓨터설계실험II 강의 교안(HBE Combo II-DLD)전자전기컴퓨터설계실험II 강의 교안(Verilog-HDL ... 실험 목적Verilog HDL 언어를 사용하여 Sequential Logic을 설계 및 실험.Flip-Flop, Register, SIPO, counter 등 다양한 순차회로를 설계Behavioral
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • 고지혈증 보건교육
    미만비정상240mg/dL 이상 (고지혈증)160mg/dL 이상 (고지혈증)40mg/dL 미만200mg/dL 이상 (고지혈증)※ 혈액 지질 종류와 수치여기서 Check좋은 콜레스테롤 HDL ... 콜레스테롤 수치도 낮춤.세 번째, 운동부족결국 비만을 초래하여 콜레스테롤의 양이 증가됨.네 번째, 흡 연관상동맥질환의 아주 중요한 원인이 되며, 총 콜레스테롤을 증가시키고 HDL ... 심혈관 질환, 동맥경화 등의 원인이 됨.고지혈증의 원인첫 번째, 음식물특히, 동물성 식품의 지방과 콜레스테롤이 풍부한 음식두 번째, 비 만비만인 사람은 콜레스테롤 수치가 더 높고, HDL
    리포트 | 29페이지 | 3,000원 | 등록일 2020.05.14 | 수정일 2020.05.20
  • (임상영양학) 이상지질혈증의 진단기준과 위험 요인을 조사하여 설명하시오
    비만도가 높아질수록 혈중 총콜레스테롤과 중성지방 농도가 높아지며, HDL 콜레스테롤 농도가 감소되고, 내장비만은 Lipase를 활성화시켜 HDL 콜레스테롤의 감소, LDL 콜레스테롤을 ... 서구화된 식습관으로 인해 현대인들에게 있어 자주 나타나는 질환인 심혈관계 질환의 경우, 전체 사인 중 31%를 차지하는 전 세계적으로 가장 큰 사망원인으로 보고한 상태를 말하거나 HDL ... 과거의 경우 혈중 총콜레스테롤과 중성지방 농도만을 고려한 고지혈증은 최근의 HDL 콜레스테롤과 일차적 치료목표인자 ‘LDL콜레스테롤’을 포함하여 ‘이상지질혈증’이라는 용어로 변경하였다
    방송통신대 | 8페이지 | 2,000원 | 등록일 2020.07.22
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:29 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대