• 통큰쿠폰이벤트-통합
  • 통합검색(562)
  • 리포트(544)
  • 시험자료(11)
  • 자기소개서(4)
  • 논문(2)
  • 방송통신대(1)

"d래치" 검색결과 301-320 / 562건

  • 플립플롭에 대하여
    2)상승 에지식 동기식 RS플립플롭(3)하강 에지식 동기식 RS플립플롭(4)마스터-슬레이브 RS플립플롭(5)RS플립플롭 토글 동작2)JK플립플롭(1)마스터 슬레이브 JK플립플롭3)D플립플롭4 ... 신호는 회로도에서 영어 약자로 CLK 또는 CP라고 표기한다.플립플롭은 아래 그림에 나타낸 것과 같이 제어신호와 클럭 신호를 입력으로 갖는 기억소자로 다양한 종류(SR, Jk, D, ... 비동기 플립플롭은 다음과 같이 래치와 gated 래치로 구분할 수 있다.① 래치(latch) : 셋(set)이나 리셋(reset)입력에 의해 바로 출력이 결정되며 상반된 출력을 갖는다
    리포트 | 30페이지 | 3,000원 | 등록일 2011.01.15
  • 래치, 플립플롭, 시프트 디지털회로실험 결과보고서
    .3) 시프트 레지스터의 동작 원리를 이해한다.4) 기본 논리 소자를 이용하여 래치를 구현하고 동작을 확인한다.5) D플립플롭 TTL을 사용하여 동작을 확인한다.6) D플립플롭 TTL을 ... 디지털회로실험 결과보고서-Lesson 7 래치, 플립플롭, 시프트실험7 래치, 플립플롭, 시프트1.실험목적1) 각종 래치의 동작 원리를 이해한다.2) 각종 플립플롭의 동작 원리를 이해한다 ... = Q, Q_B = Q`실험 결과래치는 이진 저장소자로써 활용되는 회로이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2010.05.23
  • 디지털 논리 TFF 회로 설계
    구현된 비동기 제어 입력 신호 t을 갖는 tff회로 설계 및 검증논리회로아래와 같은 진리표를 갖는 tffT clk Q1 rising 토글(Toggle,현재상태를 반전시킴)0 X 래치 ... wire qn;supply1 vcc;supply0 gnd;dlatch_p U1 (n,clk,d);dlatch_n U2 (q,clk,n);mux_n U3 (d,d,qn,t);not_c ... 레벨소스)과 출력 파형(작성자:탁형옥 2012.8.11)`timescale 1ps/1psmodule tff_using_dff //(output q,input clk,t);wire n,d;
    리포트 | 5페이지 | 5,000원 | 등록일 2012.08.11
  • 아주대 논리회로실험 프로젝트 FPGA로 Stop Watch 만들기
    J와 K가 모두 1인 때를 제외하고는R-S F/F의 동작과 똑같다.3) 래치 (D Latch): 디지털 논리회로에 있어서 Latch는 하나 이상의 비트를 저장하기 위한 디지털 논리회로를 ... Latch의 메모리 기능을 이용 >7490에서 카운팅된 비트가 모두 D Latch를 거쳐 각각의 7447소자로 들어가 7segment 출력이 되어 나온다. ... 그래서 하나의 출력 결과를 출력하여 7개의 멀티플렉서 각각이 7-segment의 a, b, c, d, e, f, g 각각의 단자를 control 할 수 있다.※ 동작 순서?
    리포트 | 15페이지 | 5,000원 | 등록일 2015.03.12
  • 실험예비45_디지털 IC 플립 - 플롭
    RS 래치ⅱ. D 래치? 그림 45-14의 클럭화 D 래치를 연결한다. 구형파발생기를 CLK 입력에 연결하고1 KHz, 5V 로 조정한다.? ... D에 의해 출력이 변하지 않는것을 관찰한다.RCLKQ'01표 45-8. D 래치ⅲ. 에지트러거 D 플립 - 플롭? 그림 45-15의 회로를 연결한다.? ... RS 래치? 그림 45-13 과 같이 NOR 래치를 연결한다. (14번 핀은 +5V, 7번핀은 접지).? 표 45-7의 입력조건과 같이 R 과 S 스위치를 설정한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.06.14
  • Verilog HDL
    (단, trireg net는 예외)구동자가 연결되유지하드웨어 레지스터를 모델링하기 위해 사용될 수 있음*edge-sensitive (플립플롭 등)와 level-sensitive (래치 ... 등)의 저장소자들을 모델링할 수 있음*reg는 조합논리회로의 모델링에도 사용되므로, reg가 항상 하드웨어적인 저장소자를 의미하지는 않음D 플립플롭2:1 MUXinteger 자료형정수형
    리포트 | 77페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • 아주대논리회로실험 7장.래치와플립플롭 예비(기본구성+빵판+예상결과)
    래치와 플립플롭Ⅰ. 목 적여러 종류의 flip-flop을 구성하여 그 동작 특성을 알아본다.Ⅱ. ... D 입력은 R-S F/F의 S 입력으로 바로 들어가며, 또한 NOT 게이트를 통해서 D 입력의 보수 값이 R 입력에 가해진다. ... F/F아래의 D F/F은 클럭화된 R-S F/F의 한 변형이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2011.12.21
  • 게이트 레벨 혹은 스위치 모델 dff (데이터 플립플롭)
    dff_using_latch.v// 버젼 : 1.0// 날짜 : 2011.08.09// 저자 : 탁 형옥// CODE 형태 : Switch Level (Transistor Level)// 설명 :// 데이터 래치 ... D=1'b1;#100 D=1'b0;#100 D=1'b1 ;endendmodule ... TUT.q=1'b1;#100 D=1'b1; release TUT.q;#100 D=1'b0;#100 D=1'b1 ;#100 rst=1'b0; clk=1'b1; D=1'b0;#100
    리포트 | 9페이지 | 5,000원 | 등록일 2012.07.27
  • 실험 5. 래치와 플립플롭(Latch & Flip-Flop)
    래치는 클럭 신호가 1(Active High) 혹은 0(Active Low)상태의 모든 신호를 다 데이터 D로 인정한다. ... , 그 외에 입력 신호 D자체의 변화는 무시한다. ... 래치와 플립플롭(Latch & Flip-Flop) >< 목 적 >여러 종류의 flip-flop을 구성하여 그 동작 특성을 알아본다.< 질문사항 >(1) NAND gate를 이용하여
    리포트 | 3페이지 | 2,000원 | 등록일 2012.03.11
  • [전기회로실험]쌍안정멀티바이브레이터
    따라서 D 플립플롭에서는 클 럭의 상승 모서리가 발생하는 시점에 입력 D 값이 그대로 출력 Q로 전달됨을 알 수 있다.입력출력CLK DQ↑ 00↑ 11(마)JK 플립플롭SR 플립플롭에서는 ... 관련지식(가)래치(latch)우선 플립플롭을 들어가기 전에 래치에 대해서 알아보면 우리 지금까지 했던 디지털 실험은조합회로로 단순히 현재의 입력에 의해서만 출력이 나오는 회로이다. ... 우선 NOR 게이트를 사용한 래치의 진리표를 알아보면입력출력SRQ00Q(변화 없음)01010111사용금지그럼 NAND 게이트를 사용하여 구성한 래치의 진리표와 그림을 알아보면 아래와
    리포트 | 7페이지 | 1,000원 | 등록일 2005.11.28
  • [디지털 회로] RS 래치와 DS래치
    RS 래치와 D 래치(RS-Latch and D-Latch)【1】목적(1) 래치의 기본 개념을 파악한다.(2) RS 래치의 원리와 구성 및 동작 특성을 익힌다.(3) D 래치의 원리와 ... 부착된 래치는 앞서 다룬 RS 래치와 D 래치에서 클록만 부착시킨 것으로 다음과 같다... ... 진리표는 다음과 같다.D동작 상태(Q)0Reset(Q=0)1Set(Q=1)표 9-3 D 래치 진리표4) 클록이 부착된 RS 및 D 래치 (Clocked RS & D-Latch)클록이
    리포트 | 15페이지 | 1,000원 | 등록일 2003.04.03
  • 자반_20062688_플립플롭결과
    목적Flip-flop 에 대한 여러 가지 형태의 동작과 특성에 대해 공부한다.1) R-S 플립플롭의 동작원리를 이해한다.2) D 플립플롭의 동작을 이해한다.3) J-K 마스터-슬레이브 ... 발생중인 산술연산과 이 래치를 격리하는데 적용될 게이트 레벨은 무엇인가? ... S와 R값에 따라 출력 값인 Q, Q`이 변하므로 데이터를 래치에 전달할 때 적용할 수 있다.6.
    리포트 | 4페이지 | 1,000원 | 등록일 2011.04.25
  • 졸업연구 휴대용 핸드폰 충전기
    카운터가 동작을 안 하다가 적분기가 De-integrate Phase 로 바뀜과 동시에 리셋입력으로 Low 값이 들어오게 되어서 그때부터 카운터로서 동작을 하게 되고 , 74LS75 래치의 ... 두 번째 타이머 는 A/D 컨버터의 동작 종료를 위한 출력신호를 만든다 . ... 8 비트의 Resolution 을 가진 A/D 컨버터를 만들기 위해 f=1MHz 의 OSC 와 74LS90 3 개를 써서 임의로 클럭을 분주시켜 5kHz(T=0.2ms) 로 만들어
    리포트 | 17페이지 | 2,000원 | 등록일 2013.06.16
  • 논리회로실험) Latch and Flipflop 예비보고서
    따라서 래치 동작의 규칙에 위배되므로 ( Set , Reset = 1 , 1 )을 사용할 수 없다. ( 실험목적 2 ) ... 가장 간단한 Flip Flop 이며, 입력 D를 그대로 출력을 한다. ... Flip Flop- D Flip Flop 은 이번 실험에서 사용하는 Flip Flop 이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2014.01.06
  • 5주차 예비보고서(UART와 AD컨버터)
    CMOS타입은 저소비 전력 특성, 원칩 아날로그 멀티 플렉서를 제공하고 입력래치, 디코더와 3상태 버프로세서를 이용해서 소프트웨어 제어가 가능하다. ... A/D converter실험(4) 4주차 예비보고서(타이머와 PWM)A886042 유동현실험(4) 4주차 예비보고서(UART와 A/D converter)UART(Universal Asynchronous ... 분류: A/D컨버터의 성능을 평가하는 요소에는 속도, 분해능 및 가격이 있다.
    리포트 | 16페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • Flip-Flop의 종류와 특징 및 Flip-Flop을 구성하고 있는 작은 단위 Latch에 대하여 이해 실험레포트
    change101Q=0;Reset state110Q=1;Set state111UndefinedFunction table② D래치D 래치CDNext state of Q0XNo change10Q ... 래치는 플립플롭 내에서 가장 빈번하게 사용되지만, 순차 회로를 직접적으로 구현하기 위한 복잡한 클로킹 방식에 사용되기도 한다.① SR래치NOR Gate를 갖는 SR래치SRQ1Q21010Set ... 일반적으로 플립플롭은 래치로 만들어진다.
    리포트 | 21페이지 | 3,000원 | 등록일 2010.06.09
  • [디지털 공학] 플립플롭과 래치의 차이점과 vhdl로 구현
    특성표와 기호(3) D 래치와 D 플립플롭 인버터를 이용해서 S-R 래치의 S 입력이 반전된 것을 R 입력에 가하여 항상 S 단자와 R 단자에는 동시에 1인 신호가 나타나지 않도록 ... 동기식 D f/fD latch와 D F/F의 차이를 설명하는 파형도D 입력 클럭 E D-래치(Q) D-풀립풀롭(Q){nameOfApplication=Show} ... S-R f/f 클럭 펄스가 상승 또는 하강할 때만 입력 신호가 출력에 반영 되어 다음 클럭 펄스가 나타날 때가지 그 상태를 유지함.동기식 S-R latch와 특성표동기식 S-R 래치
    리포트 | 20페이지 | 1,500원 | 등록일 2004.05.31 | 수정일 2013.11.22
  • 래치와 플립플롭
    최종출력 Q가 Master의 입력으로 귀환될 때는 이미 클록신호가 0으로 되어 있으므로 토글(toggle)을 방지하게 되는 것이다.(2) TTL 74LS73 JK 플립플롭, 74S74 D ... 래치와 플립플롭1. 목적순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알 아보고 동작 조건을 확인한다.2. ... 위 상태도는 기본적으로 Level-sensitive RS 래치가 RS래치와 성질이 같은 것을 바탕으로 삼았는데 실제 보고서를 쓰는 과정에서 책 내용을 제외하고는 Level-sensitive
    리포트 | 6페이지 | 1,000원 | 등록일 2010.03.12
  • 논리결과-6-래치와 플립플롭(Latch & Flip-Flop)
    래치와 플립플롭(Latch & Flip-Flop)1. 실험목적- 여러 종류의 flip-flop을 구성하여 그 동작 특성을 알아본다.2. ... D Flip Flop은 D의 입력이 그대로 Q에 전달되는 조합회로이다. ... D Flip Flop C가 High인 경우에 D의 입력이 그래도 출력에 반영된다. D가 1인 경우에 Q(t)에 불이 들어오게 된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.23
  • 플립플롭 (Flip-Flop)
    (D가 1일 때 set, 0일 때 reset) 또한 사전에 조사하여 알게된 D Flip-Flop의 특성 중 출력지연의 기능을 실험을 통해 확인해본 결과 입력 후 Q값이 1 Clock만큼 ... 비동기 플립플롭은 Latch와 Gated Latch로 구분된다.① 래치(Latch) : set이나 reset 입력에 의해 바로 출력이 결정되며 상반된 출력을 갖는다.② gated 래치 ... (gated latch) : 래치에 동작가능한 신호(enable)을 부가하여 이 신호가 1일 경우에만 래치로 동작한다.2) 동기형 플립플롭Clock 신호와 동기화되어 동작하는 플립플롭으로
    리포트 | 29페이지 | 3,000원 | 등록일 2010.10.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:32 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대