• 통큰쿠폰이벤트-통합
  • 통합검색(8,695)
  • 리포트(8,336)
  • 자기소개서(278)
  • 시험자료(38)
  • 논문(25)
  • 방송통신대(11)
  • 이력서(3)
  • ppt테마(3)
  • 서식(1)

"디지털 회로 실험" 검색결과 321-340 / 8,695건

  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험12) Verilog HDL을 이용한 기본회로 설계
    실험12 결과 보고서 》조제출일학과/학년학번이름실험 1) 7-세그먼트 디코더의 설계(1) Verilog HDL 코딩(2) 시뮬레이션실험 2) DE2 보드로의 다운로드 및 동작 확인 ... b0011bcd_in= 4'b0100bcd_in= 4'b0101bcd_in= 4'b0110bcd_in= 4'b0111bcd_in= 4'b1000bcd_in= 4'b1001defaultreset실험
    리포트 | 4페이지 | 1,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • 전자공학과 디지털 회로실험설계 텀프로젝트 간이 전압계입니다.
    디지털회로 실험 및 설계Term Project 최종 보고서학 과 : 전자공학과과 목 : 디지털회로 실험 및 설계팀 원 :담당교수 :보고서 제출일 : 2015년 6 월 7 일목차1. ... 제작 동기디지털회로 실험 및 설계 강의를 들으면서 우리가 배웠던 논리게이트, 여러 가지 플립플롭, 멀티플렉서, 디멀티플렉서, FND, 카운터 등을 사용하여 만들 수 있는 작품을 찾다가 ... 실험원리5-1 실험 부품 설명5-2 동작 원리6. 실험결과1. 프로젝트 주제10V 이하의 전압을 측정 할 수 있는 간이 전압계2.
    리포트 | 9페이지 | 2,000원 | 등록일 2016.04.06 | 수정일 2017.05.23
  • 서강대학교 디지털논리회로실험 6주차결과
    디지털논리회로실험실험6.Flip-flops and ShiftRegisters담당교수 : 김 영 록제 출 일 : 2013. 10. 29 (화)학 과 : 전자공학과성 명 :Laboratory ... 모든 소자의 데이터 시트를 확인하고 이에 맞는 입력 값을 주는 것이 실험의 기본인데, 디지털 실험 KIT를 사용하여 실험을 하다 보니 이정도 하면 되겠지 하는 안일한 생각이 이번 실험 ... 실험 결과1. SR latch의 회로를 TTL로 구현하고 입력값을 조작하여 그 결과를 확인하여라.
    리포트 | 5페이지 | 2,000원 | 등록일 2014.01.02
  • 서강대학교 디지털논리회로실험 4주차결과
    디지털논리회로실험실험4. Mux, Demux, Comparator담당교수 : 김 영 록제 출 일 : 2013. 10. 08.(화)학 과 : 전자공학과성 명 :1. ... 실험 제목Multiplexer, Demultiplexer and Comparator2. ... AeqB Circuit using XNOR, AND gates위 회로는 XNOR과 AND로 구성한 회로이고, Bubble shift를 통하여 XOR과 NOR을 이용한 회로로 최적화시킬
    리포트 | 9페이지 | 2,000원 | 등록일 2014.01.02
  • 서강대학교 디지털논리회로실험 9주차결과
    디지털논리회로실험실험9. Memory elements : ROM/RAM담당교수 : 김 영 록제 출 일 : 2013. 11. 19.(화)학 과 : 전자공학과성 명 :1. ... 실제 7-segment에 ROM의 data를 읽어 display하는 실험을 진행하기 위해 구현한 회로는 다음과 같다. ... 실험 내용 및 결과분석① Step 1~7● 구현한 회로는 다음과 같다. 여기에서 중요하게 살펴봐야 할 신호는 DIP_SW[3..0]으로 입력해주는 FPGA[3..0]신호이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2014.01.02
  • 서강대학교 디지털논리회로실험 3주차결과
    디지털논리회로실험실험3. Decoders and Encoders담당교수 : 김 영 록제 출 일 : 2013. 10. 01.(화)학 과 : 전자공학과성 명 :1. ... Priority encoding이 되는 것은 소자가 다음과 같은 회로구조를 가졌기 때문이다.▲ 74LS148 회로도DIP_SW를 조작하여 실험한 결과 상위 bit에 따라 encoding이 ... 논리회로이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.02
  • 서강대학교 디지털논리회로실험 5주차결과
    , A=B (A eq B), A ... 실험 제목 Arithmetic comparator, Adder and ALU2. ... 실험 내용 및 결과분석① Arithmetic Comparator Arithemetic comparator는 두 수의 대소비교가 가능한 비교기이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2014.01.02
  • 인하대학교 전자공학과 전자회로실험2 결과보고서 ADC(아날로그 디지털 컨버터)
    전자회로실험2 결과보고서학과학년학번조성명전자공학과3학년121312822조김영호실험 제목ADC(Analog to Digital Converter)1. ... ADCADC는 Analog-to-Digital Converter의 약자이다. 아날로그 전기 신호를 디지털 전기 신호로 변환하는 전자 회로이다. ... 과제 및 고찰1) 과제는 모터가 제대로 돌아가지 않는다고 조교님께서 말씀하셔서 LED를 이용하여 출력을 나타내는 실험을 하였습니다.
    리포트 | 6페이지 | 1,000원 | 등록일 2017.12.25
  • 디지털 회로실험 4장 3-상태 TTL버퍼/인버터
    양방향성 버퍼 IC 74LS245의 핀접속도를 가운데 한 회로를 선택하여 그 동작특성을 측정하여 표 4-10에 기입하라.실험데이터입력출력1CAY00001110Hi-Z11Hi-Z00011011표 ... 익힌다.이론1. 3-상태 TTL 인버터 및 버퍼 회로는 출력단의 스위치가 ON일 때 정상적인 인버터의 동작이지만 스위치가 OFF일 때는 플로팅(floating)되어 인버터의 출력이 ... 일반적으로 3-상태 인버터나 버퍼는 마이크로 컴퓨터 시스템에서 어드레스 버스, 데이터버스 또는 제어버스 등의 출력 제어 용도로 사용되며 하나의 IC 칩속에 여러 개의 회로가 내장되어
    리포트 | 6페이지 | 1,000원 | 등록일 2014.03.17 | 수정일 2014.04.07
  • [디지털회로실험] 중간 프로젝트(Mid-Term Project) 가위바위보 게임 제작
    디지털 회로 실험 》Mid-Term Project 최종 보고서? 가위바위보 게임 제작 ? ... 그래서 7490의 동작을 알아보기 위하여 쿼터스로 실험해본 결과, SET A, B입력 중 최소 하나에 LOW, CLR A, B 입력 중 최소 하나에 LOW가 인가된 상태에서 CLK ... 가위바위보 게임 전체 회로도?
    리포트 | 11페이지 | 4,000원 | 등록일 2014.10.21
  • [디지털 논리회로 실험] 11장. 비동기식 RS 플립플롭 예비레포트
    논리회로실험 A반예비11장비동기식 RS 플립플롭5조이름학번실험일15.04.28제출일15.04.281. ... 플립플롭의 기능에 대하여 기술하시오.디지털 논리회로는 조합논리회로와 순서논리회로로 크게 구분할 수 있다. 조합논리회로는 출력이 현재 입력 값에 의해서 결정된다. ... 디지털 회로에서는 이러한 기억소자로서 플립플롭(Flip Flop: F/F)이 사용되고 있다.플립플롭은 내부가 논리 회로로 구성되어 있기 때문에 논리 회로에 준하는 빠른 동작속도를 얻을
    리포트 | 3페이지 | 1,000원 | 등록일 2016.01.14 | 수정일 2022.10.09
  • 기초전자회로실험 - 디지털 시계
    1.조 구성원2.Project명디지털 시계3.Project goal디지털시계의 논리회로를 이용해 회로도에 대한 기본적인 지식습득과 직접 회로를 구성함으로서 차후에 보다 복잡한 회로구성에 ... /Start 스위치디지털 시계의 전체 동작을 제어하기 위한 회로이다.초기화 회로전원이 공급될 때 디지털 시계를 리셋시키거나 또는 디지털 시계가 동작 중에 있을 때 스위치를 이용하여 ... 및 직접 회로도 구성LSI를 사용한 디지털 스톱워치1.
    리포트 | 10페이지 | 3,000원 | 등록일 2011.04.25
  • [디지털회로실험] (실험5) 멀티플렉서, 디멀티플렉서, 엔코더, 디코더 / (실험6) 7-세그먼트 디코더
    실험 5-(1) 4 to 1 멀티플렉서 동작 확인? 회로도? 시뮬레이션 결과실험 5-(2) 74133 TTL(4 to 1 멀티플렉서) 동작 확인? 회로도? ... 시뮬레이션 결과실험 5-(3) 74147을 이용하여 10개의 입력을 BCD코드로 변환하는 엔코더? 회로도? 시뮬레이션 결과실험 6-(1) 7-세그먼트 디코더 회로의 동작 확인? ... 회로도? 시뮬레이션 결과
    리포트 | 3페이지 | 1,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • 논리회로설계실험 프로젝트_digital door rock
    Digital Doorlock의 개요☞ 주변에서 흔히 볼 수 있는 디지털 도어록은 FSM 이론과 카운터를 이용해 설계할 수 있는 대표적인 회로의 하나이다. ... 과 목 : 논리회로설계실험과 제 명 : 프로젝트 결과보고서(P_6조)담당교수 : 조준동 교수님학 과 : 전자전기공학과학 년 : 3학년학 번 : 2006312687, 2006312117이 ... 름 : 서 영 진, 김 현 기학 번 : 2007310623, 2007313531이 름 : 정 광 수, 손 계 익제 출 일 : 2011. 6. 2111_1학기_논리회로설계실험 프로젝트
    리포트 | 44페이지 | 4,000원 | 등록일 2012.03.20
  • [디지털회로실험] [쿼터스 / 베릴로그 언어(Verilog HDL) / DE2] (실험18) 타이머(Timer) 설계
    실험 (1) 1.
    리포트 | 11페이지 | 2,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • [디지털 논리회로 실험] 13장. 동기식 D, T 플립플롭 결과레포트
    ,, 직류전원공급장치,디지털 실험장치, 전압계실험 13.2 D 플립플롭 응용(1) IC 7474를 이용한 지연회로회로도 이다. ... 논리회로실험 A반결과13장동기식 D, T 플립플롭5조이름학번실험일15.05.26제출일15.06.02실험에 사용된 기기 및 부품 : 오실로스코프, HD74LS74AP, GD74LS76AN ... 두 번째 상승모서리에서는 D=0이므로 출 력 Q=0이된다.실험 13.3 T 플립플롭 응용(1) IC 7476(Dual JK Flip-Flop)를 이용한 T 플립플롭의 회로도이다.
    리포트 | 3페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2022.10.13
  • [디지털 논리회로 실험] 11장. 비동기식 RS 플립플롭 결과레포트
    논리회로실험 A반결과12장비동기식 RS 플립플롭5조이름학번실험일15.05.12제출일15.05.12실험에 사용된 기기 및 부품 : HD74LS02P, HD74LS04P, HD74LS00P실험 ... NOR 게이트를 이용하여 비동기식 RS 플립플롭을 구성한 회로도에 핀 번호를 작성하라.2. ... 논리 게이트를 이용하여 비동기식 RS 플립플롭을 구성한 회로도에 핀 번호를 작성하라.2.
    리포트 | 2페이지 | 1,000원 | 등록일 2016.01.14 | 수정일 2017.10.17
  • [디지털회로실험]논리게이트
    논리 게이트는 논리적 결정을 하기 위한 전자 회로로서, 대부분의 디지털 시스템을 이러한 게이트들로 구성되어 있다. ... 예비보고서실 험 주 제 :논리게이트(Logic gate)과 목 :학 번 :분 반 :이 름 :1.실험 제목: 논리게이트2.관련 이론논리 게이트란? ... 논리 게이트는 오늘랄 여러 가지 형태로 직접 회로 내에 이용되고 있으며, 가장 널리 보급되어 있는 종류는 TTL (Transistor Transistor Logic), ECL (emitter
    리포트 | 5페이지 | 1,000원 | 등록일 2008.09.20
  • 서강대 고급전자회로 실험 - 실험 8. Digital Filter Design - 결과 보고서
    고급전자회로 실험 결과 보고서실험 8. ... Digital filter design분반학번이름조학번이름시작종료실험시작/종료시간 기재(통계 목적임)실험 11.1 다음의 filter를 제작하시오. ... 2Lab 7의 두 번째 실험에서 제작한 작은별 곡(2,3,4차 하모닉 성분 포함)으로부터, 기저대vv))];%Program two F4's(파)for note=1:2,signal=
    리포트 | 15페이지 | 2,000원 | 등록일 2015.06.18 | 수정일 2015.06.22
  • Maxplus II를 이용한 디지털 논리 회로 실험
    디지털논리회로 실험(Maxplus II)정보통신공학과Maxplus II 프로그램은 모든 설계를 프로젝트 중심으로 관리한다. ... 파형의 상태로 논리 회로를 구성할 때는 입력 조건에 대한 출력 신호 등을 파형의 형태로 설계하여 그것이 하나의 논리 회로로 동작하게 한다. ... 장점은 회로를 생각하지 않고, 입력 파형에 대한 출력 파형만 결정하기 때문에 회로 설계가 쉽다는 것이고, 단점은 입력 데이터가 많이 지면 이에 대한 출력 데이터 결과의 경우 수가 많아지기
    리포트 | 4페이지 | 2,000원 | 등록일 2010.11.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:31 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대