• 통큰쿠폰이벤트-통합
  • 통합검색(8,695)
  • 리포트(8,336)
  • 자기소개서(278)
  • 시험자료(38)
  • 논문(25)
  • 방송통신대(11)
  • 이력서(3)
  • ppt테마(3)
  • 서식(1)

"디지털 회로 실험" 검색결과 241-260 / 8,695건

  • 디지털회로실험 09장. 디코더
    이해하고 각종 디코더의 사용법을 익히는 실험이었다. 9장 실험 자체만으로 보면 매우 간단한 회로를 구성하는 실험이다. ... 동작원리와 인에이블 입력의 용도를 설명하라.실험데이터표 9-3 BCD-10진 디코더 회로입 력켜진 LED 번호D C B A0 0 0 000 0 0 110 0 1 020 0 1 130 ... 디코더-디코더는 인코더와 반대로 2진 코드입력에 해당하는 하나의 출력을 나타내는 논리회로를 말한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2014.04.07
  • 디지털논리회로실험(Verilog HDL) -BCD counter, HEELO shifter
    Each digit should be displayed for about one second. ... that successively flashes digits 0 through 9 on the 7-segment display HEX0. ... Part Ⅳ : BCD 카운터 설계◉실험목적 : 50-MHz clock을 사용하여 counter를 구현해본다.(1) SummaryDesign and implement a circuit
    리포트 | 6페이지 | 1,000원 | 등록일 2019.08.29
  • 디지털회로실험(가위바위보게임)
    장난감으로 많이 사용되고 있는 이 가위바위보 게임을 디지털 회로 시간에 gate소자들을 이용해 제작을 해보았다. 2. ... 추가적인 회로의 문제로는 부득이하게 리셋버튼을 삽입하지 못하였고, 다른 실험 참가자들에 비해 단순 gate소자들로만 구성하려 했기 때문에 TTL소자를 많이 쓰게 되어 경쟁력이 있는 ... 디지털 회로라는 과목이 쉽다는 생각은 안했지만 만들면서 벅차다는 생각을 하였고 빵판이나 쿼터스에서 구현할 때마다 게이트를 구성하는 창의력에 많은 한계를 느꼈다.
    리포트 | 11페이지 | 4,900원 | 등록일 2012.12.12 | 수정일 2020.06.23
  • 디지털회로실험 12장. 펄스 발생회로
    펄스 발생회로목차●실험목적●이론●실험방법●실험데이터●실험순서 및 고찰사항●검토 및 고찰실험목적1. 무안정 멀티바이브레이터, 단안정 멀티바이브레이터의 구성원리와 인버터. ... 상기 실험에 대하여 캐패시터 C의 값을 0.01uF로 바꿔서 행하여 보아라.5. 74LS123의 핀접속도에서 표 12-4와 같은 조건하에서 출력을 디지털 스토리지스코프로 관측하여 나타내라 ... }와 B를 표 12-3과 같이 놓을 때의 출력을 디지털 스토리지스코프로 관측하여 입, 출력 파형을 나타내라.4.
    리포트 | 12페이지 | 1,000원 | 등록일 2014.04.07
  • 디지털 회로실험 1장
    LOW10결함 회로 전압(디지털 멀티미터)0.7mV3.226V1.458V0.7mV11결함 회로 전압(오실로스코프)1.68mV3.03V1.56V2.08mV표 2-2(실험값)실험순서입력논리레벨 ... 알수 있다.4) Latch 회로란, 디지털 신호를 특정한 조건일 때 받아 유지하는 회로이다.latch실험결과, 래치회로로 인해 입력신호가 두 번 반전되어 출력이 된다는 것을 알 수 ... LOW10결함 회로 전압(디지털 멀티미터)0.68mV3.21V1.44V0.68mV11결함 회로 전압(오실로스코프)1.60mV3.00V1.56V2.05mV5.
    리포트 | 7페이지 | 15,000원 | 등록일 2012.12.03
  • 기초전자회로실험1- 디지털공학 실험 논리게이트 1.2 예비 자료
    [표 4-1]입력출력ABX001011101110[표 4-2]입력출력ABX001010100110[표 4-3]입력출력AX0110[표 4-4]입력출력AX0110[표 4-5]입력출력AX0011[표 4-6]입력출력ABX000010100111[표 4-7]입력출력ABX0000111..
    리포트 | 6페이지 | 1,500원 | 등록일 2019.03.24 | 수정일 2019.03.29
  • 디지털실험및설계 예비7(연산 회로)
    디지털 논리실험 및 설계#7 연산 회로 (예비)담당교수님 : 교수님제출일자 : 2015. 05. 11조 :학번 :이름 :1. ... 실험 이론(1) 반가산기반가산기는 이진법으로 표시된 두 개의 수를 더하는 가산기이다. 입력 값 A, B를 더해서 나오는 합이 S에 나오고 자리올림을 나타내는 것은 C 이다. ... 그림6) ALU를 이용한 회로이다.그림6) ALU를 이용한 회로2.
    리포트 | 9페이지 | 1,500원 | 등록일 2015.12.05
  • 디지털회로 실험 프로젝트 보고서, 포토인터럽트 활용, 자동 회전 액자(스크린), 회로 및 사진 포함
    제작 목적실험 실습을 통해 배운 여러 이론들인 게이트, 플립플롭, 7segment, 타이머, 디코더, 카운터 등을 이용하고 새로운 이론과 새로운 소자들과의 융합, 결합을 통해 기존의 ... 디지털 출력을 제공.- (+)입력단자가 (-)입력단자보다 크면 +V _{s}단자가 출력으로 나오고, 반대로 (-)입력단자가 (+)단자보다 크면 -V _{s}단자가 출력으로 나온다.V ... 회로7. 결과1.
    리포트 | 24페이지 | 2,000원 | 등록일 2019.02.08 | 수정일 2019.05.19
  • 기초회로실험(디지털 게이트의 전기적 특성 결과)
    논리 회로Y와 Y'에 흐르는 전압 측정값* 검토 및 토의 사항이번 실험은 TTL 7400 NAND 게이트를 이용한 실험이였다. ... NAND 게이트는 두 입력이 HIGH일 때 출력은 LOW로 출력되고 입력이 LOW일 때 출력이 HIGH로 출력되는 논리회로이다. ... 결과 보고서※각 항목에 반드시 검토 및 토의 내용을 작성하여 제출할 것.실험번호제출일제출자실험조학번이름71) VIL, VIH, VOL, VOH 각각의 값입력과 출력 측정X-Y모드로
    리포트 | 2페이지 | 1,000원 | 등록일 2015.10.01
  • 디지털논리회로실험(Verilog HDL) - Real-time clock, counter
    실험2.1 Part Ⅱ: Real-time ClockDesign and implement a circuit on the DE2-115 board that acts as a time-of-day ... base-N Up/Down CounterImplement a M-digit base-N up/down counter. ... After an elapsed time, the red light labeled LEDR0 turns on and a four-digit BCD counter starts counting
    리포트 | 8페이지 | 1,000원 | 등록일 2019.08.29
  • 기초회로실험(디지털 게이트의 전기적 특성 예비)
    예비 보고 사항실험번호제출일제출자실험조학번이름7그림7-9. 논리 회로1) 그림7-9와 같이 논리 회로를 구성하였다.
    리포트 | 1페이지 | 1,000원 | 등록일 2015.10.01
  • [mahobife]디지털회로실험 인코더와 디코더 결과 보고서입니다.
    디지털 논리회로 설계와 실험-개정판. 파주: 성안당 ... 비교기 회로10. 인코더와 디코더결과보고서조교님제출일학 과학 년학 번성 명Ⅰ. 목적1. 비교기의 의미와 특성 이해2. 비교기의 동작 원리 이해3. ... 비교기 회로의 설계 능력 배양4. 인코더의 의미와 동작 이해5. 디코더의 의미와 동작 이해6. 인코더와 디코더의 응용 능력 배양Ⅱ. 데이터1.
    리포트 | 6페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.10.11
  • 디지털논리회로실험(Verilog HDL) - 8-bit Signed Adder/Substractor, Multiplier
    Assign the pins on the FPGA to connect to the switches and 7plications of digital circuits it is useful
    리포트 | 19페이지 | 1,000원 | 등록일 2019.08.29
  • 논리회로실험 2014 Digital clock
    Background이번 실험은 4MHz의 오실레이터 clock을 분주하여, 디지털 시계를 설계하고 RoV-Lab 3000을 이용하여 설계한 회로를 검증하는 것이 목적이다. ... Purpose 1) 4MHz의 오실레이터 clock을 분주하여, 시, 분, 초를 나타내는 디지털 시계를 설계한다.2) RoV-Lab 3000을 이용하여 회로 설계를 검증한다.2. ... 먼저 RoV-Lab 3000의 기능 중에서 실험에서 사용하게 될 기능은 7 segment이다.
    리포트 | 11페이지 | 1,000원 | 등록일 2014.11.05
  • 디지털회로실험 10장. 표시소자의 디코더 회로
    디지털신호에 의한 표시소자의 구동에 필요한 디코더 회로의 동작 원리를 이해하고 각종 디코더의 IC의 사용법을 익힌다.이론1. 세그먼트-프로그램이나 메모리의 부분. ... 표시소자의 디코더 회로목차●실험목적●이론●실험방법●실험데이터●실험순서 및 고찰사항●검토 및 고찰실험목적1. ... 및 LED 표시기 FND807의 핀접속도에서 7 세그먼트 LED 표시기 디코더 회로에서 LT 단자에 연결된 스위치를 닫을 때 LED 표시기는 어떻게 나타나는 가를 관찰하라.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.04.07
  • 디지털로직실험/최신 디지털 공학 실험8 논리 회로 간소화
    실험 8논리 회로 간소화실험목표▣ BCD 무효 코드 검출기에 대한 진리표 작성.▣ 카르노 맵(Karnaugh mpa)을 이용한 표현식의 간소화.▣ 간소화된 표현식을 구현하는 회로 구성 ... 이들 회로의 논리 표시기를 분석하고, 가장 가능성 있는 원인을 찾아 실험 보고서에 기술하여라실험 8 보고서이름 : 날짜 : 조 :실험 목표:BCD 무효 코드 검출기에 대한 진리표 작성카르노 ... 회로를 4비트로 설계할 수 있지만 8비트로도 쉽게 확장이 가능하다.실험 순서BCD 무효 코드 검출기1.
    리포트 | 24페이지 | 1,000원 | 등록일 2014.06.30
  • 디지털회로 실험 결과 보고서(디코더, 인코더)
    디지털회로 실험 결과 보고서목적 : 조합(combinational) 논리회로의 기본 소자인 디코더와 인코더의 동작 원리를 이해하고 실험을 통해 확인한다.필요 부품TTL IC : 7404 ... (여기서 1번 핀은 수도꼭지의 역할을 담당한다.)④ 멀티미터로 출력값을 측정하고 분석한다.실험 결과 및 분석첫 번째 실험과 비교했을 때와는 달리 회로의 출력 값은 전부 1번 회로와 ... 10-1 2-to-4 디코더(decoder)입력회로의 출력BAD3D2D1D*************1001001110002-to-4 디코더 실험 방법① 브레드 보드에 7404(NOT
    리포트 | 6페이지 | 1,000원 | 등록일 2015.12.20
  • [mahobife]디지털회로실험 오픈컬렉터와 3-상태버퍼/인버터, 논리회로실험 예비보고서입니다.
    준비물 및 실험방법1. 준비물실험 5. 오픈컬렉터와 3-상태 버퍼/인버터 준비물실험 6. 간단한 논리회로 실험 준비물2. ... 사용해야 한다면 출력단이 전원단락을 일으키지 않는 구조를 가지는 디지털 회로를 사용하는 것인데, 이러한 출력단 회로에는 개방 콜렉터/개방 드레인형의 방식과 3상태(3-state) ... 간단한 논리회로실험예비보고서조교님제출일학 과학 년학 번성 명Ⅰ. 목적1. 오픈 컬렉터의 의미 확인2. 3-상태(3-state) 버퍼/인버터 특성 확인3.
    리포트 | 12페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.12.09
  • 디지털회로실험 14장. 플립플롭
    이민수 : 이번 실험은 각종 플립 플롭의 동작 원리를 이해하고, 디지털 시스템에서 이들의 적절한 활용 능력을 익히는 실험이었다. ... 각종플립 플롭의 동작 원리를 이해하고, 디지털 시스템에서 이들의 적절한 활용 능력을 익힌다.이론? ... T에 1이 입력되면 보수를 출력한다.다음은 T플립플롭의 회로도이다.?실험 방법1.
    리포트 | 10페이지 | 1,000원 | 등록일 2014.04.07
  • 디지털회로 실험 가위바위보 게임기
    디지털회로실험 Term Project사람과 컴퓨터의 가위바위보 게임목 차1. Project의 목적2. 설계 시 주의사항3. 설계에 사용된 부품과 단가4. ... 설 계 목 적1) 지금까지 디지털 회로실험에서 배운 디코더, 인코더 등 여러 회로를 이용하여 가위, 바위, 보 게임을 제작한다.2) 직접 설계 제작과정을 통해 하드웨어의 설계 과정을 ... 숙지한다.3) 회로 설계프로그램(Quartus)의 사용법을 익해 설계 과정의 숙련도를 높인다.2.
    리포트 | 9페이지 | 1,000원 | 등록일 2013.03.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:31 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대