• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(6,490)
  • 리포트(5,820)
  • 시험자료(344)
  • 방송통신대(232)
  • 자기소개서(42)
  • 논문(38)
  • 서식(10)
  • ppt테마(3)
  • 이력서(1)

"HDL" 검색결과 341-360 / 6,490건

  • 식품화학 레시틴
    포스파티딜콜린은 생체 내에서 HDL-콜레스테롤의 양을 높여 주므로 잉여 콜레스테롤을 용이하게 제거하는 역할을 돕는다. ... 레시틴은 혈장의 총 지방의 농도, 중성지방 농도, 총 콜레스테롤 농도를 낮추고 총 콜레스테롤에 대한 HDL-콜레스테롤의 비율도 높여준다. ... 혈액에서 very-low-density lipoproteins (VLDL)과 low-density lipoproteins (LDL), high-density lipoproteins (HDL
    리포트 | 6페이지 | 2,500원 | 등록일 2023.04.12
  • 시립대 전전설2 Velilog 예비리포트 4주차
    Verilog HDL 미습 4주차예비리포트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록실험
    리포트 | 14페이지 | 1,000원 | 등록일 2021.04.16
  • 대사질환,병태생리 대사 증후군에 관한 보고서
    (고밀도 지단백 콜레스테롤)이 감소하였으며, 통제군의 HDL-C증가함으로써 집단과 시간에 따른 상호작용효과가 통계적으로 유의한 차이가 나타났다. ... 또한 HDL-C(고밀도 지단백 콜레스테롤)의 감소현상은 전체적인 TC(총 콜레스테롤 수치)의 감소에 의한 것이라 판단이 되며, 전체적인 대사증후군의 위험인자에는 효과적이지 않았다. ... 문제를 가진 환자는 운동부하 검사 등을 통해 신체 기능을 평가 후 전문의에 의한 운동 처방을 받아서 실시해야 한다.복부비만(허리둘레) : 남녀 모두 유의한 감소TG : 유의한 감소HDL
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.15
  • [생활과학과] 2019년 2학기 임상영양학 중간시험과제물 공통(이상지질혈증의 진단기준과 위험 요인)
    그러나 HDL 콜레스테롤(HDL-C) 농도가 감소함으로 인해 관상동맥질환의 발생위험이 증가하므로, 이상지질혈증(Dyslipidemia)이라고 하는 것이 더 바람직하다.지질은 중성지방
    방송통신대 | 8페이지 | 8,100원 | 등록일 2019.09.10
  • (임상영양학) 이상지질혈증의 진단기준과 위험 요인을 조사하여 설명하시오
    이상지질혈증은 혈액 내 중성지방과 총 콜레스테롤, LDL 콜레스테롤, HDL 콜레스테롤의 농도를 측정하여 진단한다.표1. ... 이상지질혈증1) 진단이상지질혈증은 혈액 내 중성지방이나 콜레스테롤이 비정상적으로 증가하거나 HDL 콜레스테롤 농도가 낮은 상태를 말한다.
    방송통신대 | 7페이지 | 2,000원 | 등록일 2020.07.17
  • Verilog로 고성능의 12비트 곱하기 4비트의 multiplier를 설계 (레포트, 설계파일)
    설계목적Verilog HDL을 이용하여 고성능의 12비트 곱하기 4비트의 multiplier를 설계한다.2.
    리포트 | 2페이지 | 3,000원 | 등록일 2020.04.15
  • 고지혈증 보건교육 계획서
    과다하게 많이 함유되어 있는 상태를 말합니다.2고지혈증은 지방 위주의 식생활, 운동 부족, 유전적인 요인 등에 의해 발생한다.3고지혈증의 증상은 가슴이 아프고, 머리가 아프다.4고밀도(HDL ... 이상지질혈증은 지단백의 대사 이상에 의해 발생하는 질환으로 혈액 중에 지질 또는 지방성분이 과다하게 많이 함유되어 있는 상태- 이는 고콜레스테롤혈증, 고중성지방혈증, 낮은 고밀도(HDL ... 경우가 대부분입니다.진단- 공복 상태에서 혈액 검사를 하여 간단하게 알 수 있습니다.- 저밀도(LDL) 콜레스테롤은 동맥경화증과 밀접한 관계가 있는 나쁜 콜레스테롤이고, 고밀도(HDL
    리포트 | 7페이지 | 1,500원 | 등록일 2021.04.04
  • 지질대사와 식품 기능(대사증후군, 지질대사 조절 효능, 매커니즘)
    지단백질L, 저농도 HDL은 동맥경화증을 유도한다. ... HDL이라 불리는 고밀도지단생성되어 담낭에 저장된 후 식품을 섭취하면 소화과정 중에 소장으로 분비된다. ... 이러한 방법은 LDL 콜레스테롤의 농도와 심혈관질환의 위험도를 효과적으로 낮출 수 있으나, LDL 콜레스테롤의 농도가 낮은 조건에서도 심혈관질환이 발생하는 경우도 많아서 유용한 HDL
    리포트 | 18페이지 | 1,000원 | 등록일 2021.11.07
  • [이상지지혈증] 심혈관 또는 뇌혈관 질환에 직접적으로 영향을 줄 수 있는 이상지질혈증이라는 병에 대해서 자세히 알아보고자 한다.
    이상지질혈증의 정의이상지질혈증이란 혈액 내에 있는 LDL 콜레스테롤, 중성지방, 총콜레스테롤의 양이 기준치보다 과다하게 많이 함유되어 있는 상태이거나 HDL 콜레스테롤이 기준치보다 ... 총콜레스테롤과 LDL 콜레스테롤 그리고 중성지방과 HDL 콜레스테롤의 수치를 확인하는데 일반적인 정상범위로 나온 기준수치는 뇌졸중이나 심장마비의 위험요인이 없는 사람들의 목표수치를 ... 그래서 이상지질혈증의 진단기준은 LDL 콜레스테롤 160 mg/dL 이상이거나 중성지방 200 mg/dL 이상일 때, 총콜레스테롤 240 mg/dL 이상이거나 HDL 콜레스테롤 40
    리포트 | 9페이지 | 2,000원 | 등록일 2021.06.01
  • Verilog를 이용한 고성능의 16비트 adder를 설계
    결과분석Verilog HDL waveform은 다음과 같다. ... 설계목적Verilog HDL을 이용하여 고성능의 16비트 adder를 설계한다.2. ... 지연시간은 연산 path에 따라 다소 길어질 수 있으나 logic level이 절반으로 줄어들어 연산 path에 따라 유동적이긴 하나 지연시간을 감소시킬 수 있었다.실제로 Verilog HDL
    리포트 | 3페이지 | 1,500원 | 등록일 2020.04.15
  • 대사증후군, 원인, 운동요법, 개선
    이상지혈증의 진단은 총콜레스테롤, LDL콜레스테롤, 중성지방, HDL콜레스테롤을 기준으로 판단한다. ... 어떤 질병이 2가지 이상의 증후를 나타내는 특징이 있을 때 몇가지 증후들의 모임을 증후군이라 한다.대사증후군은 복부비만, 고혈압, 이상지질혈증(고중성지방혈증과 낮은 HDL콜레스테롤혈증 ... 국민10명중 4명은 이상지질혈증 환자이다.2)위험성HDL콜레스테롤이 낮은 원인은 비만, 운동부족, 높은 중성지방으로 이는 몸의 상태를 알려주는 것이며 인슐린 저항성의 강력한 조기신호라
    리포트 | 13페이지 | 2,000원 | 등록일 2020.07.15 | 수정일 2020.10.18
  • 임상화학 2-1 중간
    침착을 억제→ HDL의 감소는 관상동맥성질환 평가에 의의- 측정법: HDL-C를 측정하기 위해 다른 종류와 구별 필요- LDL은 간에서 말초조직으로 콜레스테롤 이동- 관성동맥질환의 ... → 혈장 or 간HDL&LDL-콜레스테롤- HDL은 말초조직에서 간으로 이동- 관상동맥질환의 보호인자- LDL수용체와 결합→ LDL이 세포내로 들어가는 것을 막아→ 콜레스테롤의 축적이나 ... 주요 원인- 고지혈증 치료의 일차적 목표관상동맥 질환의 보호인자- CM: TG 98%- LDL: 콜레스테롤 50%- HDL: 단백질 50%LEP(전기영동)- + 알파 프리베타 베타
    시험자료 | 37페이지 | 3,000원 | 등록일 2022.09.22 | 수정일 2022.09.27
  • 임상화학 중간
    침착을 억제→ HDL의 감소는 관상동맥성질환 평가에 의의- 측정법: HDL-C를 측정하기 위해 다른 종류와 구별 필요- LDL은 간에서 말초조직으로 콜레스테롤 이동- 관성동맥질환의 ... → 혈장 or 간HDL&LDL-콜레스테롤- HDL은 말초조직에서 간으로 이동- 관상동맥질환의 보호인자- LDL수용체와 결합→ LDL이 세포내로 들어가는 것을 막아→ 콜레스테롤의 축적이나 ... 주요 원인- 고지혈증 치료의 일차적 목표관상동맥 질환의 보호인자- CM: TG 98%- LDL: 콜레스테롤 50%- HDL: 단백질 50%LEP(전기영동)- + 알파 프리베타 베타
    시험자료 | 37페이지 | 3,000원 | 등록일 2022.09.22 | 수정일 2022.09.27
  • KBS1 생로병사의 비밀, 과음의 경고 감상문
    알코올의 대사증후군과 hs-CRP 증가에 대한 보호 효과의 한 기전으로 HDL-콜레스테롤 증가가 작용했을 가능성을 뒷받침하고 있다. ... 남자의 경우 비음 주군에 비해 30g 이상 고용량 알코올 섭취군에서 낮은 HDL-콜레스테롤 발생의 낮은 상대 위험도와 고위험 hs-CRP 발생의 낮은 상대 위험도를 함께 보이고 있어 ... 상승된 HDL-콜레스테롤 수준에 상충하는 알코올 섭취 수준에 따른 대사증후군의 다른 구성요소들의 변화를 살펴본 결과 본 연구에서 남성의 수축기 혈압과 이완기 혈압의 평균값은 알코올
    리포트 | 6페이지 | 2,500원 | 등록일 2021.03.09
  • A+++ 지역사회간호학 보건교육계획안 이상지질혈증 예방 및 관리를 위한 식이 교육
    : 혈액 내의 수치가 높을수록 동맥경화가 잘 생기는 콜레스테롤을 말한다. - 좋은 콜레스테롤[HDL(고밀도지질단백질)-콜레스테롤]이란? ... ) + 중성 지방]이 높은 경우 ④ 좋은 콜레스테롤(HDL-콜레스테롤)이 낮은 경우 (2) 원인 이상지질혈증의 원인으로는 동물성 지방 섭취, 탄수화물 과다섭취, 음주, 흡연, 스트레스 ... 것으로 다음 모두에 해당합니다. ① 나쁜 콜레스테롤(LDL-콜레스테롤)이 높은 경우 ② 중성지방이 높은 경우 ③ 총콜레스테롤[나쁜 콜레스테롤(LDL-콜레스테롤) + 좋은 콜레스테롤(HDL-콜레스테롤
    리포트 | 5페이지 | 1,500원 | 등록일 2024.01.20 | 수정일 2024.06.19
  • 정실, 정보통신기초설계실습2 3주차 예비보고서, 결과보고서 인하대
    실험 제목 : Verilog HDL 코딩 및 시뮬레이션 방법2. 실험 목적실험 목적 : Verilog 코딩과 시뮬레이션 실습3. 실험 이론 : 가.
    리포트 | 3페이지 | 1,500원 | 등록일 2021.08.31
  • vsim Medical Scenario 5, 간호진단 2개, 사전퀴즈 문제, 답, 해설 번역 0, 의사처방 번역, diabetes, 당뇨
    , 나이, 이전에 단식 포도당 또는 포도당 내성 손상, 고혈압, HDL VI 35 및/또는 트리글리세라이드 IV 250, 임신성 당뇨병의 역사는 모두 위험 요인이다.8. ... (해당 항목을 모두 선택하십시오.)a) 중성지방 감소b) 가족력c) HDL 콜레스테롤 > 36 mmold) 고혈압e) 비만답: 고혈압, 비만, 가족력해설: 가족력, 비만, 인종/인종성 ... (Select all that apply.)a) Decreased triglyceridesb) Family historyc) HDL cholesterol >36 mmold) Hypertension
    리포트 | 6페이지 | 2,000원 | 등록일 2023.01.05
  • 심뇌혈관질환의 예방관리 9대 수칙
    HDL이라고 불리는 고밀도 지단백질은 조직이나 동맥에 존재하는 콜레스테롤을 간으로 운반하여 제거하는 역할을 하는데 이 HDL을 혈청내에서 감소시켜 동맥경화 위험성을 증가시킨다.따라서 ... 또한 니코틴 성분에 의해서 혈청 지질 중 LDL을 증가시키고 HDL을 감소시킨다. ... LDL 수치가 130mg/dL미만, 총 콜레스테롤 수치가 200mg/dL 미만, 중성지방 수치가 150mg/L 미만, HDL 콜레스테롤 수치가 40mg/dL 이상이어야 적정 수준이다
    리포트 | 3페이지 | 2,500원 | 등록일 2020.12.13
  • [청소년건강과 간호]
    청소년은 성인과 달리 허리둘레, 중성지방과 HDL 콜레스테롤의 정상치 가 성별, 인종, 연령에 따라 차이가 있으며 사춘기 때 생리적으로 인슐린 저항성이 증가하 기 때문에 청소년에서 ... NCEP-ATP Ⅲ 진단기준다음 5가지 요소 중 3개 이상 만족 시 대사증후군을 진단할 수 있다.① 복부비만: 연령 및 성별 허리둘레가 90백분위수 이상② 중성지방: ≥110(mg/dl)③ HDL콜레스테롤 ... 서술하시오.대사증후군은 인슐린 저항성을 핵심 기반으로 비만, 고혈당, 당뇨병, 동맥경화, 이상지질혈 증, 심혈관질환 등을 총체적으로 포함하는 개념으로 복부비만, 고혈압, 높은 중성지방, 낮 은 HDL콜레스테롤
    방송통신대 | 5페이지 | 3,000원 | 등록일 2023.11.09
  • 생애주기영양학 영유아, 심혈관질환 위험자 영양 사례연구
    또, LDL-콜레스테롤은 137mg/dl으로 경계하여야 하며, HDL-콜레스테롤은 35mg/dl으로 낮은 편이다.3. ... - LDL 콜레스테롤 섭취는 줄이고, HDL 콜레스테롤은 늘리는 식이가 필요하다. 섬유소를 포함한 식품의 양을 늘리고 나트륨은 1일 2400mg으로 제한한다. ... 최근에 졸린은 정기적인 건강검진을 받았는데, 일부 결과가 다음과 같다.체중: 65kg 신장: 158cm 허리둘레: 88cm혈압: 120/77LDL-콜레스테롤: 137mg/dl HDL-콜레스테롤
    리포트 | 6페이지 | 2,500원 | 등록일 2021.12.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:33 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대