• 통큰쿠폰이벤트-통합
  • 통합검색(692)
  • 리포트(644)
  • 시험자료(28)
  • 논문(6)
  • 서식(6)
  • ppt테마(6)
  • 방송통신대(2)

"el-7l" 검색결과 341-360 / 692건

  • 역동적 세포배양법; 바이오리액터
    산소는 세포를 고농도로 배양할 정도로 충분히 공급되고 정치배양을 하거나 배지를 균일하게 분포시키기 위하여 회전시킬 수도 있다.6) 헬리 셀(Heli-cel)부착성 세포를 배양하기 위해 ... 동물세포 배양은 점진적으로 발전하여 현재에는 약 10000L정도의 생산 공정을 널리 사용하고 있다. ... 중심으로 5~7㎜ 간격을 두고 여러개 수직으로 고정시킨 장치이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2012.10.25
  • 디지털시스템설계_마이크로프로세서_Shifter&Alu의설계
    , trans4R, trans4L,trans2R, trans2L, trans1R, trans1L,dout3, dout2, dout1 : std_logic_vector(15 downto ... , sel2, sel1, sel0 : std_logic_vector(1 downto 0);-- 4개의 mux에서 사용될 sel신호들signal RorL : std_logic;-- shift될 ... 신호에 알맞은 data를 넣어주기만 하면 되는데, 왼쪽 또는 오른쪽으로 8bit shift 된 data는 다음과 같이 표현할 수 있다.왼쪽 : input( 7 downto 0 )
    리포트 | 13페이지 | 1,500원 | 등록일 2008.02.19
  • 고속도로 건설공사 검측업무계획 및 검측대장
    및 고정○L형 측구기초지반위치, EL, 구배, 폭원, 연장, 다짐도○콘크리트 타설전선형, 배수구 위치, 시방규격, 거푸집 청소 및 조립,지지목설치, 유도선설치, 시공이음부 정리(뜬돌 ... 기준으로 산출)※ 검측결과 : 시험결과에 의한 수치 및 기타 검측의견 기재붙임7신축이음장치검측대장제○○공구교 량 명방 향부 위개소검측 대상및 실시량( 누계/전체 )검 측 결 과(체크리스트 ... ○ M(개소)교 량 명방향구간금 일감측 연장또 는검측 개소누 계감측 연장또 는검측 개소검 측 결 과(체크리스트 이상유무)검측 일시검 측 자서 명비고※ 연장으로 표시 (교량별 작성-2차선
    리포트 | 27페이지 | 5,000원 | 등록일 2009.09.12 | 수정일 2017.11.23
  • motor neuron disease
    ▶F16-7 Diagrammatic flow of clinical Sx. ... Escorial) definite ALS : UMN and LMN signs in the bulbar region as well as at least 2 of C,T,L-spinal ... T1 innervated muscles in one or both arms Sporadic▶F16-7 Diagrammatic flow of clinical Sx.
    리포트 | 56페이지 | 3,000원 | 등록일 2010.06.14
  • 센서 응용회로 실험
    회로 설계는 EL-7L와 ST-7L를 넣고 LED는 직류전원을 늘려 사용하였다.적외선 발광 다이오드는 LED의 개념으로, ST-7L등은 트랜지스터로 회로를 구현하여, 베이스전류와 상관관계를 ... 브레드보드에 구현한 회로에 연기감지를 실험하기 위해 EL-7L과 ST-7L 사이를 종이쪽지로 가려놓고 LED의 작동 여부를 관찰해본다.C.제작 후 화재 상태를 가정하여 출력의 변화를 ... -7L와 ST-7L의 특성을 잘 사용하여 회로를 구현하려 노력하였고, LED는 다이오드를 사용하였다.
    리포트 | 6페이지 | 1,500원 | 등록일 2008.07.08
  • RLC로 이루어진 교류회로
    3~1-4 와 같이 실험한다.1-6.위의 측정 데이터로부터 ER : It, EL : It, Et : It의 그래프를 그린다.1-7.이 그래프의 기울기로부터 저항R, 인덕턴스L, 그리고 ... φ) (7)EC = (- 1/ ωC)IM cos(ωt +φ) (8)ER = RIMsin(ωt +φ) (9)가 되며, EL과 EC는 ER와 각각 π/2, -π/2의 위상 차를 가지고 ... 캐패시턴스C의 직렬회로에 연결하는 경우를 생각해 보자.이 회로에서 R, L, C에 걸리는 전압ER, EL, EC는ER = IR (3)EL = L dI/dt (4)EC = 1/C ∫dq
    리포트 | 5페이지 | 1,000원 | 등록일 2007.11.19
  • VHDL을 이용한 LED, LCD 문자출력
    LED를 이용하여 “ 2 0 0 2 1 6 0 1 5 8 L O V E " 의 학번과 임의의 문자를 Dynamic Control 방식으로 출력하는 회로를 설계하겠으며 LCD를 이용하여 ... 입출력 포트 설정count_dec : out std_logic_vector(6 downto 0);sel_decode : out std_logic_vector(13 downto 0) ... );end led_control;architecture sample of led_control isfunction sel_dec(temp : std_logic_vector(3 downto
    리포트 | 15페이지 | 3,000원 | 등록일 2009.12.03
  • 램프핑퐁머쉰 설계
    그리고 shift_clk는 분주된 클럭 0.2초로 움직인다.begin--segment displayprocess(sel)begincase sel iswhen "000" =>digit ... R_cnt, L_cnt : std_logic_vector(3 downto 0);signal sel : std_logic_vector(2 downto 0);signal data : ... 시그널 R_cnt, L_cnt는 오른쪽 왼쪽 점수를 나타내는 신호이다. 그리고 data 신호는 R_cnt, L_cnt의 점수를 세그먼트에 표시하기 위해서 지정하는 신호이다.
    리포트 | 16페이지 | 2,000원 | 등록일 2009.01.09
  • LED산업분석 및 향후전망(2014년 08월 업데이트)
    Lamp type : 모양에 따라 Round 타입, Oval 타입, 7-segment 타입으로 나뉨Ⅲ. LED제품 및 사용분야1. 제품구성 소개②. ... 수명은 LED보다 짧으며, 아직 대량화 단계가 아니어서 가격이 매우 비쌈.LD화학, 삼성SMD, 금호전기, 야스, 신안SNP, 이엘엠, 루디스 등L E DO L E DⅠ. ... 유기발광다이오드 또는 유기EL이라고 하며, 1987년 미국 이스트만 코덱사의 Van Slyke씨에 의해 발명음극과 양극에 전압을 인가하면 각각 전자와 정공을 주입.
    리포트 | 21페이지 | 2,000원 | 등록일 2011.07.25 | 수정일 2015.12.17
  • 물리실험보고서 RLC교류회로(예비)
    직렬회로에 연결하는 경우를 생각해 보자.이 회로에서 R, L, C에 걸리는 전압ER, EL, EC는ER = IR ----------- (3)EL = L dI/dt --------- ... 따라서 교류 전압E는E =ER + EL + EC= IR + L dI/dt + 1/C∫Idt ----------- (6)한편, 식(2)의 전류I는 식(4)과 (5)에 대입하면EL = ... ωLIMcos(ωt +φ) ----------- (7)EC = (- 1/ ωC)IM cos(ωt +φ) ----------- (8)ER = RIMsin(ωt +φ) ---------
    리포트 | 4페이지 | 1,000원 | 등록일 2008.04.30
  • 국제매너 MEXICO,맥시코-역사,문화,관광,음식,매너,예절
    길이의 L자 모양 섬이다. ... 멕시코의 관광지 ……………………………………… 7-119. 멕시코 축제 ……………………………………………… 12-1410. 멕시코의 음식문화 ……………………………… 14-1911. ... 친선도시설정 합의서를 체결하였다.7.
    리포트 | 29페이지 | 5,000원 | 등록일 2012.12.01
  • hemiplegia soap note(사례보고서)
    Sensory testLTRTU/E, L/EU/EL/ESuperficial sensationpainOOOtemperatureHotO△△ColdO△△Light touchO△△compressionO ... Coordination testRTLTFinger-nose실행함실행못함Heel-shin실행함실행못함Rebound실행함실행함7. balance test(Romberg test)Opened ... General health Information : Medication : 고혈압, 당뇨약 복용 Allergy(-)Subjective information7.
    리포트 | 29페이지 | 3,500원 | 등록일 2011.07.09 | 수정일 2018.06.05
  • [A+평가자료] 집을 건축하기 위한 공기조화[부하,공조] 계산
    ·A TIMES gamma `(표`2-28)q _{EL _{``}} = epsilon TIMES W _{L`} ```````(kcal/h)= epsilon TIMES A TI```` ... TIMES 5.5-1.6 TIMES 1.6- {1.6 TIMES `1.6} over {2} ) TIMES 1 TIMES (20-(-7.5))##=408.595`(kcal/h)⑤극간풍에 ... DELTA t````(kcal/h)=0.6921 TIMES (3.9 TIMES 2.5-1.38` TIMES 1.38) TIMES 1.2 TIMES (20-(-7.5))##=179.18
    리포트 | 34페이지 | 3,000원 | 등록일 2009.05.19 | 수정일 2017.04.06
  • 건설계획계론 Planning Process (경인운하)
    ◇ 갑실의 천단고 : 한강측 : EL 13.2m, 운하측 EL 6.5m◇ 한강측 주운가능 최고수위 : EL 5.7m◇ 문비- 형식 : Sector Gate- 문비 sill 표고 : ... 구간 : 인천광역시 서구 시천동 - 서울시 강서구 개화동- 연 장 : L=15.6 Km- 주운수심 : 6.0 m- 상시운영수위 : EL 2.70 m- 수로폭 :100 m (하저폭 기준 ... ), 곡선부 200m○ 접근항로- 연장 : L=8.2Km , 항로폭 : 200m- 수심 : DL(-)8.0m (약최저 저조위 기준)○ 갑 문(1) 인천터미널 : (폭) 26m × (
    리포트 | 8페이지 | 2,000원 | 등록일 2008.10.29
  • PIC16f877a를 이용한 전자시계
    )- SWT7 : PIN33(RB0)O N : 스탑워치 정지(STOP)OFF : 스탑워치 시간을 나타냄 (SEC, MSEC)- SWT8 : PIN27(RD4)O N : 스탑워치 RESETOFF ... /////////////////////// 함 수///////////////////////////////////////////////void num_segment(int); // 7- ... WDT_2304MS);//RTCC T/C INT TIME SETenable_interrupts(int_rtcc); //RTCC T/C INT ENABLEext_int_edge(0,l_to_h
    리포트 | 16페이지 | 1,000원 | 등록일 2009.05.14
  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 초기 사용법 입니다.)
    다음으로 ‘sel’과 ‘y’의 MSB값을 각각 2와 7로 입력한다.(7) 입력이 제대로 들어갔는지를 다시 한번 확인한 후 Finish를 클릭한다.(8) 다음으로 next를 클릭하고 ... 전자전기컴퓨터설계실험IIIPRELAB REPORT[The Usage of Xilinx ISE on Spartan-3]학 과담당교수조학 번이 름제 출 일목 차 HYPERLINK \l ... \l "실험방법" 2.
    리포트 | 19페이지 | 2,000원 | 등록일 2008.09.28
  • Elevator 설계
    명 :제출일자 : 2005. 11. 29E l e v a t o rE l e v a t o r? ... -- 5층 내려가는 스위치signal floor : std_logic_vector (4 downto 0); -- 엘레베이터 위치signal sel_floor : std_logic_vector ... ieee.std_logic_unsigned.all ;entity elevator isport (clk: in std_logic;bt_updn : in std_logic_vector (7
    리포트 | 17페이지 | 10,000원 | 등록일 2009.05.27
  • 유체역학 전공실험 보고서
    V (1-cos) =여기에서 ?는 질량유량으로 유입하는 질량유량과 유출하는 질량유량은 같다. ... Bernoulli방정식은 위의 세 수두의 합이 한 유선을 따라서 일정함을 말해준다.여기서 수력기울기선(Hydraulic Grade Line ; HGL)과 에너지선(Energy Line ; EL ... 검사체적으로 설정하고 검사표면을 통해 유체가 유입하는 높이와 유출하는 표면의 높이의 차이가 작다면 그 사이 유동의 마찰손실을 무시할 때 베르누이방정식에 의하여이 되고 위의 식의 z-방향
    리포트 | 23페이지 | 4,000원 | 등록일 2011.12.20
  • 모세오경연구
    인간창조(1:26-28, 2:7-25)첫 3일(준비 : 외형 이름)1일 : 빛 - 빛과 어둠2일 : 궁창 - 윗물과 아랫물 - 상하의 판별3일 : 물 - 물 - 초목 : 육지와 바다의 ... ‘elro'l : 창 16:13 → “감찰하시는 하나님(God of seelng"[하갈이 광야에서]“야웨의 이름을 나를 살피시는 하나님이라 하였다.”3. ... ‘elelyon : 창 14:18-22 → “지극히 높으신 하나님(God Most Hi호”[멜리세덱 → 아브람] “천지의 주재이시오 지극히 높으신 하나님이여 아브람에게 복을 주옵소서
    리포트 | 30페이지 | 3,000원 | 등록일 2010.07.17
  • 이사야 33장 주해
    4절~k,el.l;v. : 존 와츠는 그의 이사야 주석에서 ‘너희 노략물(전리품)’로 번역된 ‘~k,el.l;v.’가 의미하는 바가 “너희가 모은 노략물(전리품)”인지 아니면 “너희의 ... 주께서 일어나심으로 인하여 열방이 흩어졌나이다사역 : 포효하는 소리에 민족들이 도망하며당신의 일어나심에 나라들이 흩어집니다.○ 이사야 33장 4절lysi_x'h,( @s,aoß ~k,el.l ... 그릇되고 잘못된 자들로 소개되고 있다. 28-35장에 구체적으로 거론된 지도자들은 제사장들(28:7), 선지자들(28:7; 29:10), 예루살렘을 치리하는 경만한 자들(28:14)
    리포트 | 14페이지 | 1,000원 | 등록일 2009.05.05
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:59 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대