• 통큰쿠폰이벤트-통합
  • 통합검색(692)
  • 리포트(644)
  • 시험자료(28)
  • 논문(6)
  • 서식(6)
  • ppt테마(6)
  • 방송통신대(2)

"el-7l" 검색결과 401-420 / 692건

  • 임신중 고혈압성, 제왕절개
    HELLP syndrome (H:용혈 EL:간효소의 증가 LP: 혈소판치 감소)경한 자간전증의 간호? ... 단백뇨: 초기에 거의 나타나지 않거나 소량( 0.3g/L/24hr 또는 단백질 손실이 다양하므로 6시간 간격으로 2회나 그 이상)? 단백뇨(24시간 요검사): 5g/L/24hr? ... 정맥주사 또는 근육주사한 후 필요에 따라 4-5시간마 있다.
    리포트 | 27페이지 | 2,500원 | 등록일 2011.03.07
  • 어머니를 소재로 그린 작가들
    그래도 《 막시밀리안의 처형 L’Exécution de Maximilien 》(1867), 《 폴리 베르제르의 술집 Le Bar Folies-Bergère 》(1882) 등 유화의 ... 학력은 마드리드국립미술학교 데뷔아래 1900 년 바르셀로나의 Els 4 Gats 카페 전시회를 열었다 . ... 그레코 , L.F.J. 고야 등이 지닌 독특한 단순화와 엄격성이 가미되어 갔다 .
    리포트 | 43페이지 | 2,500원 | 등록일 2010.08.03
  • [금융공학]증권 장외파생상품의 분석 및 이해
    리베이트 연7%기초자산Kospi2001년95%95% 원금보장130%만기행사가격원금보장수준한계가격7%리베이트상품개요상품특징수익률 그래프Kospi200수익률130%86.67%-5%Participation ... Index 25% 알루미늄 LOAHDY Comdty 5% 아연 LOZSDY Comdty 5% ※기초자산 변경 및 비중 조절 가능기초자산(70%)*Max[0, 바스켓가치분기별평균(4번)l/ ... 만기 장기화하면서 조기 상환 가능한 ELS 출시 - 원금 비보장 구조 - 기초자산 : 주가지수 또는 개별종목 - 특히, Two Stock 상품의 인기 확대 9월 간접투자자산운용법 시행
    리포트 | 31페이지 | 2,000원 | 등록일 2007.05.17
  • VHDL MUX and DEMUX(vhdl prelab 입니다)
    ;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity two_x_one isport( i0, i1, sel : in std_logic; -- input signal ... Design a 2x1 MUX- Describe its input output signals2x1 MUX의 입력신호는 각 I0, i1로 두 개의 bit가 존재하며, 선택신호인 sel이 ... Purpose of the Experiment HYPERLINK \l "theory" 2. Theory(Pre Report) HYPERLINK \l "실험도구" 3.
    리포트 | 16페이지 | 2,000원 | 등록일 2008.09.28
  • 재료강도 측정 레포트
    표점거리)/표점거리} ×100▶ 영구연신율( ε) : {(하중을 가하고 제거한 후의 표점간 길이 - 표점거리)/표점 거리}×100▶ 항복 연신율( λr ; Y-El) : 시편 평행부가 ... 상항복점(σSU U-YP) : 시편의 평행부가 항복을 시작하기 이전의 최대하중을 평행부 원단면적으로 나눈 값 ( N/mm2 or Kgf/mm2 ).8) 하항복점(σSL L-YP) ... 경도시험치로 적합, L= 압입자국의 대각선 길이-vicker시험의 장점압흔이 사각형이기 때문에 면적의 계산이 용이작은 하중으로도 소성변형유발 가능작동이 간단시간이 적게 소요표면준비가
    리포트 | 29페이지 | 2,000원 | 등록일 2010.11.29
  • [센서이론]적외선 센서
    (Quantum)광원-적외선다이오드..PAGE:5적외선 센서 종류(1)발광부 센서일정한 주파수의 빛을 발산만 하는 센서일반적인 LED발광부에는 EL-7L, EL-23F(H), EL- ... 1L1, EL-1KL3 등을 사용..PAGE:6적외선 센서의 종류(2)수광부센서발광부 센서에서 발산된 빛을 받아들이기만 한다.수광부에는 ST-7L, ST-23F(H), ST-1MLAR2 ... , ST1KLA..PAGE:7적외선 센서 종류(3)리모톤에서 사용되는 적외선..PAGE:8적외선 센서의 동작원리발광부 센서와 수광부 센서를 바닥면으로 향하도록 고정하고 발광부를 발광시킨
    리포트 | 12페이지 | 2,500원 | 등록일 2006.04.03 | 수정일 2015.04.25
  • 데이터마이닝
    데이터 마이닝 (Data Mining)목 차 TOC \o "1-3" \h \z \u HYPERLINK \l "_Toc228190922" 1. ... power law scaling) 등의 방법이 있다.ㆍ 선택 매커니즘선택 연산자는 잘 적응한 해들은 살아남고 잘 적응하지 못한 해들은 도태되도록 유도함으로서 자연 선택(natural sel함 ... 처음에 배정된 군집과 같을 수도 다를 수도 있다.(8) 새로운 점들로 구성된 군집들에 대한 중심 값을 다시 계산하여 (6), (7) 과정을 반복한다.
    리포트 | 18페이지 | 2,000원 | 등록일 2009.04.22
  • 알폼 alform al.form 현장 답사 조사 분석
    Form W a l l Slab Support 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 필요에 따른 설치 계획에 따른 설치 AL.FORM, EURO FORM ... Form Wall Size 600X1200 - 2 매 600X2450(2300) - 1 매 제품중량 40kg(20kgX2) 26kg 골조공정 8~9 일 6~7 일 전용횟수 15~20 ... Beam, End Beam 과 Prop Head 를 연결하기 위한 부속자재 AL.FORM 구성AL.FORM 구성먹줄놓기 Wall Form 설치 Beam Panel 설치 계단 / EL
    리포트 | 27페이지 | 2,500원 | 등록일 2008.11.27
  • 언어의 소개 6장 Phonetics(음성학) 요약
    el][k? ... (glide + vowel, vowel+ glide-> diphthong(이중모음)) (eg. ... [l][r][j][w
    리포트 | 4페이지 | 1,000원 | 등록일 2007.11.06
  • wow 제픔 계획서
    channel 모터: steping moter [sanyo]kh42hm2-901(2ea) torque: 1.4kg*m-3v 흡입 모터 : 35w 센서: EL-7L , ST-7L POWER ... 제작 -1주 흡입부 설계 -1주 흡입 모타부 제작 -2주 Test 돌입, 수정 보완 -1주{nameOfApplication=Show} ... 분석 -1주 무게 증가시 받는 압력 -1주 주행 알고리즘 (박진확) 자료 수집/ 분석 -4주 알고리즘 설계, 구현 -2주 로봇 조립 / Test (전원) 프레임 설계 -1주 프레임
    리포트 | 14페이지 | 2,000원 | 등록일 2008.06.18
  • Wnt 신호전달과 관절질환
    (Karen E el al, 2005; Jang-Soo Chun et al, 2008; Mark L. ... Wnt-7a 또한 Wnt 3a와 비슷한 역할을 하는 것으로 보여진다. (Fig.6) 몇몇 Wnt protein들 (including Wnt-1, -3a, -4, -7a a ... Wnt-3a and Wnt-7a는 cartilage에서 chondrocyte dedifferentiation 과정에 관여하며 IL-1b와 같은 primary pro-inflammatory
    리포트 | 30페이지 | 3,500원 | 등록일 2010.01.12
  • 피터아이젠만 (PETER EISNMAN)
    Works 7. ... 건축물은 그 자체가 측정 수단이다.Hose EL Even Odd1980 / Palo Alto , California 이 주택은 엑소노메트릭 오브제 건축에서 읽혀지는 표현 조건을 탐색하는 ... 들어오면서 학생들과 일부 건축가들에 의해 사용되었다.건 축 의 배 경구조주의에서의 구조는 언제나 하나의 중심, 고정된 원리, 의미의 위계질서와 확 고한 토대를 가정하는데, 탈구조주의-해체주의-는
    리포트 | 46페이지 | 3,500원 | 등록일 2010.12.20
  • 토양_지하수_오염 PPT
    환경화학 퇴비화공법환경화학 대 책경제산업성 산하 신에너지 산업기술 종합개발기구 (NEDO) 는 2008 년 7 월 홋카이도 토우야호 서미트에서 태양광 발전 , 연료 전지 , 유기 EL ... Akalinity = [HCO - 3 ] + 2 [CO 2- 3 ] + [OH} + [H + ] 산화 – 환원 전위 계의 산화 또는 환원환경의 정도를 지시하는 수치적 척도이며 수소전극전위는 ... 0 볼트가 기준 경 도 경도는 탄산칼슘당 농도 경도범위 (mg/L of CaCO ₃) 구 분 0~60 Soft 61~120 Moderately hard 121~180 Hard 180
    리포트 | 27페이지 | 1,500원 | 등록일 2010.11.13
  • 사해사본
    이로 인하여 학자들 간에 의견이 조금씩 다르게 연대를 말한다.- 사해 사본을 기록한 것이 주전 1세기나 2세기라고 생각한다.- 혹자들은 이것을 주후 6세기나 7세기의 늦은 때라고 말하였다 ... 그러나 쿰란 교단과는 직접적인 관계가 없다.3) 미르드 지역의 유적과 사본들와디 엔 나르(Wa야 en Nar)근처에 있는 비잔틴시대의 수도원 유적 키베트엘 미르드 (Kirbet el ... L. Sukenik)": 사해사본은 1947년과 그 이후에 사해 서쪽의 수많은 지역에서 발견된 사본들 전체를 총 과적으로 일컫는 명칭이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2010.11.15
  • 청소로봇 논문
    발광으로 EL-7L, 수광으로 ST-7L을 사용한 이유는 가격이 저렴하고, 근거리(2~3 cm)용으로 이용하기 위해서 선택하였다.? ... 무 또는, 물체까 지의 거리 등을 알 수 있다적외선 센서의 발광, 수광부의 구조ST-7LEL-7L제품 사진=2) // 소리인식이 두번 되었다면 실행{if(flag_motor==0) ... 시스템 구성1.1 시스면서 고속인 칩으로서, CMOS형태로 이루어진 8비트의 마이크로 컨트롤러이다.- 범용 입출력 포트 (I/O Port)2개의 8비트 I/O 포트와 1개의 7비트
    리포트 | 28페이지 | 5,000원 | 등록일 2009.09.15
  • 한국어와 프랑스어 비교(형태론)
    saimer, aimons, aimait: -er, -ons, ait파생 접사mort, mortel: el(범주를 변화시킴, 명사→형용사)clair, clairement: (e)ment ... 지시형용사에는 이러하다, 그러하다 등이 있다.7) 관형사관형사는 체언 앞에서 체언을 수식해 주는 기능을 가진 말이다.) ... 또 Bonnard에서는 명사(le nom), 형용사(l'adjctif), 대명사(le pronom), 동사(le verbe), 부사(l'adverbe), 불변관계사(mots de relation
    리포트 | 7페이지 | 1,500원 | 등록일 2009.12.19
  • 고체역학 인장실험 보고서
    , σu(MPa)=하중 - 변위 선도단면수축률, %RA=Af=14.824mm2연률, %EL=Lf=52.60mm파단강도, σf(MPa)=하중 - 변위 선도파단변형률, εf=ln()RA ... 실험결과 및 분석7. 고 찰1. 실험 목적인장시험은 하중에 대한 재료의 변형응답을 축정하는 것을 목적으로 한다. ... : S = P/A0 , 공칭 변형률 :=/L0③ 진응력 - 진변형률 선도(True Stress - Strain Curve)- 진응력 := S(1+), 진변형률 := ln(1+)※
    리포트 | 6페이지 | 1,000원 | 등록일 2008.10.19
  • [일반물리실험]RLC회로의 임피던스 측정
    실험 결과 (R=3.3KΩ, L=10mH, C=0.022*10F)(1)R-L회로It(mA)ER(V)EL(V)Et(V)R(Ω)XL(Ω)z(Ω)Z=φ(rad)0.51.640.05441.66 ... -55.431.324.285.867.633292413957805496-53.85(3)R-L-C회로It(mA)ER(V)EL(V)EC(V)Et(V)R(Ω)XL(Ω)XC(Ω)z(Ω)Z=φ ... -201.54.750.62.145.213166315142634803355-17.9426.30.82.746.893150403137034403295-16.73(4)R-L-C회로 (다른
    리포트 | 4페이지 | 1,000원 | 등록일 2006.01.28
  • 희성전자, (주)엘엔에프 (제품 포트폴리오 매트릭스 분석 )
    또한, PDP · 유기EL 등 차세대 Display 사업 분야에 대한 기술개발에도 지속적인 투자를 함으로써 탄탄한 기술력을 바탕으로 한 Global 기업으로 성장해 가고 있다.1974년10월 ... 투자 벤처기업 인증2001 년 11월신기술개발 벤처기업 인증2001 년 12월전사적 ERP시스템 구축2002 년 01월본점 이전(대구 달서구 파호동 성서공단3차 2단계 86B 2L) ... 협력업체 등록2000 년 08월유상증자2001 년 02월ISO 9001 인증2001 년 06월유상증자2001 년 07월대구공장 설립대구 달서구 파호동 성서공단3차 2단계 86B 2L2001
    리포트 | 11페이지 | 2,500원 | 등록일 2010.11.16
  • 패널조사에 대해
    Nielsen panel Nielsen 의 소비자 패널조사 - AC 닐슨 I-Scan AC 닐슨 I-Scan l 프로모션 측정 구매한 모든 제품에 대해 판촉행위의 종류를 구분하여 스캔 ... Nielsen panel Nielsen 의 소비자 패널조사 - 태도 조사 카테고리 내 브랜드 el TNS 의 소비자 패널의 실전적 브랜드 관리 활용 소비자의 구매행동 자료와 다른 추적조사 ... 닐슨 I-Scan l 조사범위Customer panel Summary Nielsen Case TNS panel TNS Case Practical use Panel?
    리포트 | 58페이지 | 3,000원 | 등록일 2010.06.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:04 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대