• 통큰쿠폰이벤트-통합
  • 통합검색(3,809)
  • 리포트(3,229)
  • 자기소개서(283)
  • 시험자료(179)
  • 방송통신대(102)
  • 논문(10)
  • 서식(3)
  • ppt테마(2)
  • 이력서(1)

"디지털 논리 설계" 검색결과 361-380 / 3,809건

  • [디지털실험] 반가산기와 전가산기 예비리포트
    digit와 자리올림 digit의 2개의 digit로 결과가 얻어진다.(2) 반가산기2진 덧셈을 살펴보면 2-입력(A, B)의 논리회로는 exclusive-OR게이트와 같은 출력을 ... )2진수 체계는 모든 디지털 시스템의 기초이다. ... 그러므로 디지털 회로에서는 모든 연산동작이 2진수를 사용하도록 구성되어진다.
    리포트 | 2페이지 | 1,500원 | 등록일 2020.05.21
  • 아날로그 및 디지털회로 설계 실습 실습8_래치와 플립플롭_결과보고서
    1.요약이번 실습에서는 논리 gate(nand, inverter)를 사용해 래치와 플립플롭의 회로를 설계하였다. ... 이렇듯 래치와 플립플롭은 디지털 회로에서 매우 중요한 역할을 담당하고 있 고 다양한 곳에서 사용되므로 그 동작 원리를 이해하고 회로를 구성할 수 있는 능력을 키우는 것이 중요하다고
    리포트 | 6페이지 | 1,000원 | 등록일 2024.08.27
  • 부울 대수를 이용한 논리식의 간략화 법칙이란
    구현에 있어서, 부울 대수를 이용한 논리식 간략화 법칙은 우리의 디지털 세계에 큰 영향을 끼쳤으며, 이를 통해 실제 논리회로의 설계 과정에서 오류 발생률을 줄여줌으로써 회로의 조립과 ... 현재 널리 사용되고 있는 컴퓨터의 논리 회로에서는 1 또는 0만 존재하는 이른바 ‘디지털 회로’를 취급한다. ... 컴퓨터에서 사용되는 디지털 조합 논리회로는 AND, OR, NOT게이트 등으로 표현할 수 있는데, 이런 소자가 많으면 많을수록 회로가 복잡해지고 중복도 생기며, 불필요한 지연시간과
    리포트 | 3페이지 | 2,000원 | 등록일 2021.09.06
  • 광운대학교 전기공학실험 실험3. 부울대수와 논리조합 결과레포트 [참고용]
    참고문헌-디지털논리와 컴퓨터설계 제5판, 주요 이론 참고-https://cms3.koreatech.ac.kr/sites/yjjang/down/digi09/ch04.pdf,한국기술교육대학교 ... 디지털 논리회로에서 회로 단순화의 공학적 목적은 비용절감, 처리 속도상승 등이다.5. ... , 정보기술공학부 교육자료, 정논리와 부논리간의 게이트 대응관계 참고
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.01
  • 중앙대 아날로그및디지털회로설계실습 예비보고서 9장 4bit adder 회로설계
    아날로그 및 디지털회로설계 실습 예비보고서[설계실습 9. 4-bit Adder 회로 설계]소속담당교수담당조교수업시간학번성명? ... 실습목적: 조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다.9-2. ... XOR gate를 이용하여 보다 간소화된 다단계 조합 논리 회로를 설계한다.S`=` {bar{A}} BULLET {bar{B}} BULLET C _{i} +` {bar{A}} BULLET
    리포트 | 6페이지 | 1,000원 | 등록일 2023.04.06
  • 디지털공학 ) 1.아날로그 신호의 디지털 신호 변환 과정을 그림을 통해 자세히 설명해주세요 2. 보수를 설명해주세요. 3. 패리티비트에 대해 설명해주세요.
    게이트들의 정논리와 부논리에 대해 설명해주세요.디지털 시스템에서 설계자가 전압 상태에 따라 임의적으로 ‘0’ 또는 ‘1’을 설정할 수 있다. ... 디지털공학1.아날로그 신호의 디지털 신호 변환 과정을 그림을 통해 자세히 설명해주세요2. 보수를 설명해주세요.3. ... 패리티비트에 대해 설명해주세요.디지털공학1.아날로그 신호의 디지털 신호 변환 과정을 그림을 통해 자세히 설명해주세요2. 보수를 설명해주세요.3.
    리포트 | 5페이지 | 5,000원 | 등록일 2021.08.10
  • 논리회로 ) and게이트 조사
    논리회로and게이트 조사논리회로and게이트 조사목차- 본론- 요약- 본론1)정의 및 원리불대수를 디지털 논리 회로에 적용하여 만든 논리 연산자 중 하나이며 논리곱에 대응된다.해당 게이트 ... : XNOR : XOR(모든 입력이 0이면 1, 1이면 0을 출력)에 NOT 게이트가 덧붙여진 형태이다.)- 요약1) 정의 및 원리- 불 대수의 논리곱을 디지털 논리 회로에 적용한 ... 나타내는지를 판단할 때 사용하고, 집합에서 교집합으로 쓰인다.논리 연산은 전기·전자회로에서는 집적 회로를 설계할 때 쓰이고 있으며 AND 게이트는 직렬 회로로 연결된 동작과 동일하다
    리포트 | 4페이지 | 5,000원 | 등록일 2021.07.16
  • 서울시립대학교 전전설2 전자전기컴퓨터설계실험2 결과와 예비레포트 동시에 2주차 Lab02 Schematic Design with Logic Gates
    일반적으로 Xilinx ISE를 이용해 FPGA를 설계하는 과정은 다음과 같다.2) 본 실험에서 사용되는 논리회로(1) AND gateAND 게이트- 논리곱을 구현하는 기본 디지털 ... 실험 목적Verilog HDL 언어를 이용하여 디지털 회로를 디자인을 하기에 앞서 Schematic 설계를 수행한다. ... 한마디로 사용자가 원하는 digital logic을 programming통해 구현할 수 있는 chip이다.
    리포트 | 28페이지 | 3,000원 | 등록일 2020.07.27 | 수정일 2020.09.16
  • 디지털 논리회로의 전압특성과 지연시간 예비레포트
    따라서 디지털 논리회로를 설계할 때 전력손실을 줄이려면 되도록 Bit의 변화가 적도록 상태할당(State Assignment)을 하여야 한다. ... 실험 22 : 디지털 논리회로의 전압특성과 지연시간1. 실험 목적2진수를 전압으로 처리하는 디지털 논리회로의 동작전압, 지연시간 등을 측정하여 회로의 특성을 파악한다. ... 실험 이론모든 디지털 기기들은 디지털 논리회로에서 배운 부울대수(Boolean Algebra)의 AND, OR, NOT의 3개로 연산하는 장치이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.28
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    Digital Design with an Introduction to the Verilog HDL.3) 한빛미디어. IT CookBook, 디지털 논리회로. ... 실험의 목적Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 실험하며 그의 controller를 설계한다. ... 조합논리회로.4) ㈜한백전자. HBE-COMBO II – SE Verilog HDL 실습 Verilog HDL 문법
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • [평가계획서][평가기준안] 가장 작성하기가 까다롭다는 정보 과목 2학기 평가계획서 및 평가기준안입니다.
    기본 원리, 논리 연산 방법을 설명할 수 있고 논리식으로 표현할 수 있다.중컴퓨터 설계의 기본이 되는 디지털 설계에서 불대수의 개념과 논리연산 방법을 설명할 수 있다.하컴퓨터 설계의 ... 사이버 범죄에 대한 대응방법을 설명할 수 있다.상생활에서 사이버 범죄에 대한 대념을 이해하고 논리연산을 설명할 수 있다.상컴퓨터 설계의 기본이 되는 디지털 설계에서 불대수의 개념과 ... 기본이 되는 디지털 설계에서 불대수의 개념을 설명할 수 있다.정보3211-2.
    리포트 | 12페이지 | 3,000원 | 등록일 2020.06.02
  • 중앙대학교 편입학 예술공학부 자기소개서
    , 기초 UX, 베이직ID, 디지털영상표현, 디자인논리및논술, 공예논리및논술, 시각캡스톤디자인1,2, 디자인교육론 등의 수업을 들었습니다.전문대학이었기 때문에 수업이 많지도 않았고 ... 자신이 수행한 학업 활동에 대한 과정과 결과를 구체적으로 기술하시오저는 OOOO대학 디자인과에 재학을 하면서 취창업진로설계, 컴퓨터2D표현, 컴퓨터2D디자인, 제품CAD, 재료및가공구조 ... 입학 후 학업 목표, 실행 계획, 졸업 후 진로를 자신의 강점 및 약점과 연관지어 구체적으로 기술하시오.저는 중앙대학교 예술공학부에 편입학을 하고 나서 디지털헤리티지와 테크놀로지,
    자기소개서 | 1페이지 | 3,800원 | 등록일 2024.01.13
  • 연세대 반도체 융합전공 합격 자기소개서 및 학업계획서
    디지털논리회로'는 기본적으로 0과 1의 논리 회로에 대한 Logic Gates, Flip-Flops, Counter 등 여러 디지털 시스템을 설계하고 해석하는 방법을 학습합니다.' ... 강의계획서가 상세하게 게시되지 않아, 작년 수강계획서와 학과 홈페이지에 나와있는 커리큘럼을 참고하여 개략적인 앞선 두 학기의 학업 계획을 세워보았습니다.2학년 2학기에 '기초회로이론', '디지털논리회로 ... 많이 부족하다는 것을 알게 되었습니다.또한 저희 학교는 큰 규모의 회로 및 시스템 설계실습 환경을 가지고 있으며 약 90대의 회로 실습 장비 및 245대의 설계 FPGA 장비를 보유하고
    자기소개서 | 2페이지 | 8,000원 | 등록일 2024.08.20 | 수정일 2024.08.22
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    논리회로설계 실험 예비보고서 #4실험 4. 디코더& 엔코더 설계1. ... 설계할 수 있다. ... 디지털 신호를 아날로그 신호로 되돌리는 경우에 D/A 컨버터가 디코더에 해당한다.
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 서울대학교 일반대학원 전기정보공학부 연구계획서
    , 데이터통신, 객체지향프로그래밍, 디지털설계실습, 전동기제어, 로봇공학개론, 디지털영상처리, 안테나공학 등의 수업을 이수했습니다. ... 학부, 대학원 이수 전공 과목 중 관심과목저는 OO대학교 전기전자공학부에 재학할 때 전자회로응용, 디지털신호처리, 전자회로실험, 컴퓨터구조, 마이크로프로세서응용및실험, 논리회로, 통신이론 ... 프레임 동기 기술 연구, 그래핀 플라즈몬에 격자 보조 결합을 사용하는 플라즈몬 도파관 변조기의 이론적 조사 연구, 가속기 질량 분석 시스템 사이클로트론을 위한 RF 캐비티의 최적 설계
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.02.05
  • 디지털 논리회로(생능출판, 김종현) 1단원 정리
    논리 게이트- 디지털 시스템에서 논리 연산들은 기본 전자 진리표에는 각 입력 변수들이 가질 수 있는 값들(0과 1)로 이루어질 수 있는 모든 조합들을 좌측에 열거하며, 각 입력 조합에 ... 디지털 논리 연산의 개념- 2진 비트들로 표현된 정보들을 처리하는esistor)임- 접점의 개폐 동작을 제어하는 신호를 A1.4.1. ... 용이함- 신호의 정확한 값을 유지할 필요가 없고, ‘0’과 ‘1’을 나타내는 대략적인 전압 범위만 유지하면 됨(회로는 연산 기능만 가지면 되기 때문에 시스템 설계가 더 쉬워짐)③
    시험자료 | 5페이지 | 1,500원 | 등록일 2020.11.09
  • 부산대학교 기전실 스톱워치 설계
    Marcovitz / 디지털 논리설계 ; Introduction to Logic Design 3rd Edition / 최종필 강정원 공역 / 한국맥그로힐(McGraw-Hill Korea ... 기본 설계Part 3. 추가 기능 설계Part 4. 간단한 팁논리회로 및 기초전자전기실험으로 배운 지식을 활용하여 스톱워치를 설계하는 과정입니다. ... 김재호, 김성신, 남일구 공저 / 논리회로 설계 및 실험 / 부산대학교출판문화원 / 2021년 02월 26일3.
    리포트 | 7페이지 | 2,500원 | 등록일 2021.07.20 | 수정일 2023.06.06
  • [방송통신대학교] 디지털논리회로 출석수업대체과제물
    디지털 시스템의 설계단계는 어떻게 구분되며, 각 단계에서 수행하는 일은 무엇인지 설명하시오.디지털 시스템의 설계단계는 1. 회로 설계(circuit design) 2. ... 논리 설계(logic design) 3. 시스템 설계(system design) 4. ... 『온라인 제출용 출석수업대체과제물 표지』2020학년도 (1)학기 출석수업대체과제물교과목명 : 디지털논리회로학 번 :성 명 :연 락 처 :_________________________
    방송통신대 | 9페이지 | 6,000원 | 등록일 2022.03.01
  • 양자컴퓨터 교내 연구 제안서 및 사업계획서 (Quantum computer proposal report)
    디지털 컴퓨터의 속도 성능이 한계를 보이고 있는 가운데 고성능 컴퓨터 개발을 위해 양자 컴퓨팅기술이 주목 받고 있음. ... 그 중 높은 에너지 효율과 내구성을 가진 가역 논리 게이트를 활용한 연구가 활발히 증가되고 있음.□ 가역 논리 게이트를 활용하여 구현한 양자 회로는 비가역 회로보다 높은 내구성 및 ... 따라서 이와 연관된 가산기, 쉬프트, 코드 변환기 등을 양자 회로로 설계하는 연구가 필요함.
    리포트 | 5페이지 | 3,000원 | 등록일 2019.10.22 | 수정일 2019.10.29
  • 중앙대 아날로그및디지털회로설계실습 예비보고서 8장 래치와 플립플롭
    아날로그 및 디지털회로설계 실습 예비보고서[설계실습 8. 래치와 플립플롭]소속담당교수담당조교수업시간학번성명? ... 실습목적: 순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.8-2. ... Oscilloscope): 1대브레드보드 (Bread board): 1개파워서플라이 (Power supply): 1대함수발생기 (Function generator): 1대점퍼선: 다수8-3 설계실습
    리포트 | 4페이지 | 1,000원 | 등록일 2023.04.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:38 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대