• 통큰쿠폰이벤트-통합
  • 통합검색(2,088)
  • 리포트(1,869)
  • 자기소개서(180)
  • 논문(19)
  • 시험자료(14)
  • 방송통신대(3)
  • 서식(1)
  • ppt테마(1)
  • 노하우(1)

"모터제어 실험" 검색결과 361-380 / 2,088건

  • [A+] 제어공학실험 세그웨이 보고서
    제어공학실험Segway1. 라인트레이서의 정의정해진 주행선을 따라 움직이는 자율이동 로봇으로서 전문용어로 AGV(Automatic Guided Vehicle)라고 불린다. ... 구성라인트레이서의 구조는주로 8비트의 저렴한 CPU와 DC모터나 스테핑 모터, 적외선센서 등으로 이루어지며 여기서적외선센서는 보통 2조(1조는 적외선센서 - 발광부와 수광부)이상 사용되는데 ... 시간에 쫓겨서 바쁘게 하느라 시행을 별로 못해봐서 완벽한 결과는 낳지못했지만 그 전보다 좀 더 여유롭게 속도를 조금씩 더 조절해보고 흰색과 검은색의 평균값을 제대로 설정하여 실험해본다면
    리포트 | 5페이지 | 2,500원 | 등록일 2019.11.28
  • 삼성SDI 기술 및 품질 신입 최종합격 자기소개서
    모터를 주문해서 선풍기 제작에 사용하였습니다. ... 그 결과 저는 전자공학 설계실험 과목에서 A 학점을 받았습니다. ... [혁신을 통한 성과]지난 학기 전자공학 설계실험 A 과목을 들으면서 ADC를 거쳐서 디지털 변환된 값을 7-SEGMENT에 실제 온도 값으로 표현하는 텀프로젝트를 수행하였습니다. 2명이
    자기소개서 | 3페이지 | 6,800원 | 등록일 2024.08.23 | 수정일 2024.09.10
  • 현대오트론 반도체직 합격자소서
    이 제품은 문의 잠금 부분 모터를 무선으로 제어하는 기술이었습니다. 팀원 중 베트남에서 온 석사생 친구가 소프트웨어 측면을 주로 담당하였고, 제가 하드웨어를 담당 하였습니다. ... 실험 프로젝트 중에 임베디드 시스템을 기반으로 한 타이머를 만들고, 제작하면서 소프트웨어와 하드웨어를 아우를 수 있는 분야에 대한 이해를 높였습니다. ... 이후 통신분야 및 제어분야를 수강하며 이를 통해 졸업논문으로 deep learning 기반의 번호판 영상처리 알고리즘을 직접설계 하였습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.11.30
  • 2022년~2024년 전자전기공학과, 반도체공학과 면접 최다 기출 질문 모음집 (서울대, 연세대, 고려대, 포스텍, 카이스트)
    예: 자동차 위치 감지, 모터 제어, 전자 나침반.응용 분야:산업 자동화: 로봇 공학, 공정 제어, 품질 관리.의료: 환자 모니터링, 진단 기기, 스마트 의료 디바이스.자동차: 자율 ... 예를 들어, 전기 모터나 유압 액추에이터를 통해 물체를 집거나, 특정 작업을 수행할 수 있습니다.정밀 제어: 액추에이터는 센서로부터 받은 피드백을 기반으로 로봇의 동통해 대륙 간 통신을 ... 주세요.이 프로젝트가 사용자나 비즈니스에 어떤 가치를 제공하는지 이야기해 주세요.프로젝트 결과물을 상용화하거나 배포할 계획이 있는지, 있다면 어떻게 진행할 것인지 설명해 주세요.어떤 실험이나
    자기소개서 | 6페이지 | 4,000원 | 등록일 2024.06.11
  • 현대모비스 ADAS 전동화 합격자소서
    이를 통해 구동모터, 인버터 컨버터를 직접 제작해 본 경험이 있습니다. ... CO₂ 배출량이 적다는 장점이 있습니다.ESS(에너지 저장 시스템)의 전력저장원, PCS(전력변환장치), 전력관리시스템 중 PCS를 제작해보며 계통연계형 전력변환시스템을 구성해보고 실험을 ... 이러한 차량을 움직이기 위한 동력을 전기모터가 보조 및 주도하는 특징을 지니고 있습니다.
    자기소개서 | 6페이지 | 3,000원 | 등록일 2021.04.10
  • seeding용 배양
    하드웨어 측면에서의 배양기 운용의 성패는 배양기에 장착된 구성 장비들을 효과적으로 제어하고 활용하는데 있다고 볼 수 있으며, 소프트웨어 측면에서는 배양하려는 세포 혹은 미생물의 배양과 ... 배양기의 교반을 위한 구동력을 모터를 이용하여 전달하는 방법으로는 배양기 모터와 교반기 사이에 동력을 직접 전달하는 기계적 이음매를 이용하는 방법과 자석의 자력을 이용하는 방법이 있다 ... 실험실 규모의 배양기 방책 및 그 영향의 기초 자료를 얻으려고 하는 경우 등에 주로 실험실에서 사용되는 몇리터 내지 수십 리터 용량의 소형 퍼멘터이다.
    리포트 | 6페이지 | 3,000원 | 등록일 2021.10.21
  • 실험6 직류전동기의 전달함수 측정 결과보고서 (아주대 자동제어실험)
    6 결과보고서학 부: 전자공학부과목명: 자동제어실험실험6 직류전동기의 전달함수 측정 결과보고서1. ... 실험내용 및 방법그림 7 엔코더 구동 블록도그림 8 전동기 구동 블록도그림 9 엔코더와 모터 사이의 연결선도(1) 엔코더 및 전동기의 구동① 실험 내용 및 방법ⓐ 전동기는 전동기에 ... 모터를 구동시키기 위해서는 전력 증폭기가 필요한데, 이 실험에 사용되는 전력 증폭기는 오른쪽 전력증폭기 그림과 같이 OP amp용 직류 전원과 선형 전력 증폭기로 구성되어 있다.
    리포트 | 8페이지 | 3,000원 | 등록일 2021.07.16
  • [중앙대 A+, 진동계측시스템 실험]로봇의 자세 제어 예비 레포트
    로봇의 자세 제어 예비 보고서1. 실험 목적?간단한 모션 제어기를 구현해보고, 다축 로봇의 모션 제어원리를 이해한다.?로봇의 관절 제어와 끝단 제어의 결과를 비교해 본다.2. ... 위에서 구한 로봇 trajectory는 다음과 같은 식에 의해 토크값으로 변환되어 각 조인트의 모터 입력 명령으로 사용 된다.ddot{I}_q +G(q) =tau =-k_p (q-q_d ... 실험 예비 보고서5.1.
    리포트 | 13페이지 | 4,900원 | 등록일 2020.03.07
  • 현대모비스(자동차부품회사) 면접 50문 50답 (기출포함)
    첫째, 석사 과정 동안 전기자동차 파워트레인 전자기 설계 및 열 해석에 대한 연구를 진행하면서 전기 모터의 설계 및 제어에 대한 경험과 지식을 쌓아왔습니다. ... 그 이후로는 고출력 모터 및 배터리 제어 기술을 바탕으로 주행거리를 크게 연장하고 충전기 인프라 구축에 기여하여 전기차 시대가 무리 없이 정착될 수 있도록발한다면, 현대모비스는 다시 ... (기출)대학교 2학년 때, 마이크로프로세서 공학 실험하면서 갈등을 겪어 본 경험이 있습니다. 상대방의 불성실한 태도에 대해 이야기를 해보니 이유가 있었다.
    자기소개서 | 6페이지 | 5,000원 | 등록일 2020.11.22
  • 일반물리학및실험 실험3 전자 기기 측정연습 결과 보고서
    PWM을 사용하면 LED의 밝기를 다양하게 표현할 수 있고, RGB LED를 꽂았을 때는 다양한 색상을 표현할 수 있으며 각종 모터의 회전속도를 제어할 수 있기 때문이다.추가로 실험에서 ... 이번 실험에서는 디지털형 멀티미터를 사용한 실험을 진행했다. ... 실험결과실험1아두이노coupling mode주기[ms]진동수[Hz]최대전압[V]최소전압[V]평균전압[V]analogwrite(pin,50)DC103.59.6645.040-160.0937.0AC103.59.6594.320
    리포트 | 3페이지 | 2,500원 | 등록일 2024.06.02
  • 아두이누 pH meter 결과레포트
    센서, 모터, 디스플레이 등 다양한 전자 소자들을 본체와 연결하여 제어할 수 있습니다. ... 아두이노 pH meter결과 레포트[실험목적]Arduino 보드와 PC를 이용하여 사용자가 원하는 환경에서의 장비 제어를 구현할 수 있도록 한다. ... 초심자 수준의 프로그래밍으로도 이러한 하드웨어들을 제어 할 수 있게 되어 프로그래밍을 쉽게 할 수 있습니다.2.
    리포트 | 13페이지 | 1,000원 | 등록일 2023.02.01
  • 전기전자 전공면접 대비(한전,KT&G,남동발전)
    기준점을 일치시킴으로써 회로 내부, 외부기기와 주고 받는 신호를 같게 하는 것이다정전차폐: 접지된 금속으로 물체를 완전히 둘러싸 외부 정전기장에 의한 정전 유도를 차단하는 것이다.유압 모터기어모터유압모터 ... 의해 발생하는 유도 전류에 의해 회전력을 발생시키는 전동기이다.1)원리아라고의 원판 실험으로 이해할 수 있다.알루미늄이나 구리와 같은 비자성체로 만든 원판 위에서 자석을 시계 방향으로 ... 저항, 계자, 전압, 초퍼제어 등이 있다1.
    자기소개서 | 12페이지 | 5,000원 | 등록일 2022.03.15 | 수정일 2022.11.27
  • LG이노텍 생산기술 합격자소서
    Module 역량을 키우기 위해서 전력전자학회에서 주관하는 'I.E 경진대회'에 출품하여 구동모터, 인버터, 컨버터를 제작해 본 경험이 있습니다. ... PSIM을 활용하여 인버터, 컨버터 회로를 구성하여 PI 제어기로 최적효율을 찾기 위해 노력했습니다. ... SMT 공정과 SPI, AOI 설비를 이해하여 품질 이슈를 분석하고 해결해 본 경험이 있습니다.② MEMS 반도체 공정실습공정과정마다 이러한 변수를 메모하며 실험과정을 정리하였습니다
    자기소개서 | 3페이지 | 3,000원 | 등록일 2021.12.16
  • [기초광학실험]평면 연마
    이상(불량)전기제어박스 내부의 부품(타이머,램프,마그네트,버튼)이상,접선접속이상교환 및 수리동력전달 장치 이상1.모터 작동 불량2.V벨트 및 풀리 파손3.감속기 스플라인 마모1.교환 ... 실험 기구 및 장치가. 실험장비1) 렌즈, 커브제너레이터, 연삭기, 연마제4. 실험 방법가. ... 우리는 평면 연마 중에서 SMOOTHING에 중점을 두어 실험을 한다.2. 실험 이론 및 원리가.
    리포트 | 9페이지 | 3,600원 | 등록일 2022.03.27
  • 기계공학 제조공학실습 레포트 사출 실험
    실험 장비model스크류 직경(screw diameter)스크류 길이(screw length)메인모터(main drive motor)스크류 분당 회전수(screw rpm)SELEX ... 최근에는 비디오, 디스크, 안경렌즈, 기어 등 정밀도가 높은 정밀성형품을 만들 때 사출압력·사출속도·가소조건 등을 포함하여 프로그램 제어를 할 수 있고, 무인운전이 가능한 사출성형기도 ... 실험결과 실험결과1 실험결과26. 결과 및 토의이번 실험에서는 열가소성 수지를 이용하여 성형하는 방법 중 하나인 사출실험을 알아보았다.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.08.26
  • 진로활동 특기사항 기재 예시-16 개성적이고 창의적인 진로활동 특기사항 기재 예문입니다.
    , 반복 제어, 조건 제어를 최대한 활용하려는 모습을 보임. ... 라지모터의 활용 부분에서 회전수를 줄일수록 1회전마다 생기는 각도의 오차를 몇 번의 시행착오를 거치면서 오차 범위를 줄일 수 있다는 것을 알게 됨. ... 자연과학 캠퍼스 실험실을 탐방하여 실험 기구들에 대한 설명을 들으며 관찰하는 시간을 가짐.
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.26
  • LS일렉트릭 HWP(PLC,HMI)직무 합격자소서
    초기에는 미숙한 커브 구현으로 로봇의 경로 이탈이 이어져 완주조차 기대하기 어려웠지만 끊임없이 센서 및 모터 제어함수를 최적화하고자 노력했습니다. ... 이의 일례로 디지털회로설계 역량을 발전시키기 위해 논리회로실험 설계 프로젝트에서 1위를 달성하겠다는 목표에 도전했습니다. ... 이를 위해 통신체계를 제어하는 임베디드S/W 설계역량과 PLC 구조를 이해하기 위한 디지털회로 및 반도체 지식을 쌓았습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.09.23
  • 부산대학교 기계공학부 기계공학실험1 A+ 밀링보고서(2022년 1학기)
    개폐손잡이를 조금씩 작동시켜 공작물을 고정시킨다.③ 컴퓨터와 제어판 장비 전원을 키고, 밀링 batch파일을 실행시키고, ‘n’을 입력한다.④ CNC 밀링프로그램 화면 좌상단 비상버튼을 ... 높이만큼 공작물은 덜 깎이게 되므로 절삭 깊이에서의 오차가 발생하는데, 이를 방지하기 위해서는 공구를 공작물 표면에 밀착시킨 후 공작물 좌표계 원점을 설정해야 한다.②절삭공구의 마모위 실험은 ... 안전을 위해 전원 스위치와 DC모터 스위치가 OFF로 되어있는지 확인하고, 비상버튼을 눌러서 작동시킨다.② 바이스 개폐 손잡이를 이용하여 가공재료를 바이스에 바르게 고정시키고, 바이스
    리포트 | 6페이지 | 1,000원 | 등록일 2022.12.11
  • 삼성SW아카데미(ssafy) 최종합격자 자소서
    , UART 통신, Timer/Counter 기능을 이용한 PWM 파형 생성, ADC 변환을 이용한 서보 모터 컨트롤 등의 실습을 통해 마이크로프로세서 전반에 관한 지식을 습득할 수 ... 전기전자공학도로서 BPF 설계, 디지털 금고 제작, Timer IC를 응용한 발진 회로 설계, 5V Power Supply 제작 등 아날로그, 디지털 전 분야에 걸친 다양한 분야의 설계와 실험을 ... 전자공학부 학술 동아리 **의 문을 두드렸고, 신입 교육과정을 수행하게 되었습니다.신입 과정 중 대부분이 포기하고 탈퇴하는 동아리였지만 끈기 있게 버텨서 Atmega128 기반의 LED 제어
    자기소개서 | 2페이지 | 3,000원 | 등록일 2021.10.18
  • 국민대학교 자동차기능실습 아반떼 엔진보고서
    실험목적Ⅱ. ... 실험공구 및 유의사항Ⅳ. 실험과정엔진 분해Ⅴ. 참고Ⅰ. 실험목적자동차 중에서도 매우 대중적인 현대자동차 아반떼(Avante) 차량의 엔진을 분해한다. ... w/3%EC%9B%94%2016%EC%9D%BC" \o "3월 16 액티브 헤드 레스트가 모든 트림에 기본 적용되었고, 타이어 공기압 경보 장치, 급제동 경보 시스템과 섀시 통합 제어
    리포트 | 27페이지 | 2,000원 | 등록일 2020.12.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:39 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대