• 통큰쿠폰이벤트-통합
  • 통합검색(2,088)
  • 리포트(1,869)
  • 자기소개서(180)
  • 논문(19)
  • 시험자료(14)
  • 방송통신대(3)
  • 서식(1)
  • ppt테마(1)
  • 노하우(1)

"모터제어 실험" 검색결과 341-360 / 2,088건

  • 실험8 위치 제어 시스템 결과보고서 (아주대 자동제어실험)
    (P-Controller) 시스템 설계① 실험 설계 및 전달함수 구하기비례제어 시스템 회로를 설계하기 위해서 실험6과 비슷하게 진행하였다. ... 위치 비례 미분제어 실험에서와 마찬가지로 이미 설계조건을 만족하는 제어회로에 추가적으로K _{d}을 넣음으로서 오히려 제어가 되지 않은 것 같다. ... 실험이론1) 비례 제어(P-Controller) 시스템 설계비례 제어기를 이용한 속도 제어 시스템은 그림 1과 같으며 이 시스템 전체 전달 함수 및 특성 방정식은G(s)= {Y(s)
    리포트 | 8페이지 | 3,000원 | 등록일 2021.07.16
  • 기계공작실습- CNC 선반 실습
    CNC 선반기계 구조주축대는 스핀들 서브모터의 회전을 통해 척을 회전시킨다. ... 폭발물이나 스파크 등이 발생하는 위험한 실험 시에는 실험 책임자의 입회하에 실험토록 할 것5. ... 이 회전을 받은 척은 공구가 흔들리거나 빠지지 않게 잡아주며, 스핀들 서브모터의 회전을 받아 공작물을 함께 돌리는 기능을 갖고 있다.
    리포트 | 9페이지 | 1,500원 | 등록일 2020.05.20
  • 아주대학교 기계공학응용실험 A+ 자료 : 예비보고서 외팔보 진동에 의한 열전달저항 변화측정
    고정단(Base)에 단열 JIG 연결6. 2 channel 이상가진 power supply에 모터, Heater에 전원공급 (모터 5V, heater 12V 이하에서 제어)7. thermocouple을 ... - 예비 보고서 -실험 제목 : 외팔보 진동에 의한열전달저항 변화측정과목명 : 기계 공학 응용 실험제출일: 2019년 4월 29일실험일자: 2019년 4월 29일실 험 조 명 :-책임 ... 외팔보 끝단에 공진의 동력원인 모터를 조립4. 외팔보와 heater를 고정시켜주는 테프론 재질의 단열 JIG을 이용하여 heater와 외팔보를 조립5.
    리포트 | 4페이지 | 1,000원 | 등록일 2019.10.12 | 수정일 2019.10.14
  • 5. 전압제어 발진기 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    실습을 위한 이론적 배경:전압 제어 발진기(VCO)는 입력 제어 전압의 크기에 따라 출력 되는 신호의 주파수가 변하는 주파수 가변 신호 발생 회로이다.실험의 전압 제어 발진기는 OP-Amp ... 실습 목적-전압제어 발진기(VCO: Voltage Controlled Oscillator)를 설계하고 전압을 이용한 발진 주파수의 제어실험으로 확인한다.3. ... 이는 주파수 조정이 필요한 곳이나 회전운동을 하는 모터의 속도를 조정할 때 효율적으로 사용될 것 같다.예를 들어 통신 공학 과목에서 배운 내용을 적용하여 TV 의 여러 station
    리포트 | 15페이지 | 1,000원 | 등록일 2022.09.22 | 수정일 2023.01.03
  • [기계공학과 학사졸업논문] 탁구공 발사장치의 설계 및 예상궤도 계산
    상, 하의 롤러는 한 개의 가변저항으로 조절이 가능해서 공의 발사속도를 변경할 수 있어 수평도달거리도 제어한다. ... 우리는 위의 식을 사용하여 공의 좌우 스핀을 계산하고 실험하였다.2.1.2 양력의 원리(Magnus Effect)유체 속에서 상대 운동하는 대부분의 물체에서 가장 중요한 유체역학적 ... 피칭 머신기이다.이에 반해 우리가 만든 작품은, 본체 내부에 4개의 롤러가 배치되어 상, 하 두 개의 롤러는 공을 발사시키는 용도로 비슷하지만 좌, 우의 롤러를 추가하고 개별적으로 제어함으로써
    리포트 | 51페이지 | 12,000원 | 등록일 2020.12.26
  • 한화정밀기계 합격자기소개서
    공정과정마다 변수를 메모하며 실험과정을 정리하였습니다. ... [반도체 전공학습 - '반도체제조공정 , 반도체 장비설계']반도체 장비 센서인 광화이버센서, 유도형근접센서, 정전용량형근접센서, 초음파센서 등을 학습하고 엑추에이터인 DC모터 Step모터에 ... 학부 시절 실험 중 문제가 발생했을 때는 문제의 원인을 고려해보면서 자연스럽게 Trouble-shooting 직무에 관심이 많았습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2022.12.28
  • [부산대학교 응용전기전자실험2] 직류전동기 결과보고서
    무부하 운전 시 모터의 속도가 무한대가 되므로, 무부하 운전 금지 및 실제로 실험에서는 무부하 상태에 도달할 수 없었습니다. ... 전동기의 동작을 제어하고 효율적으로 활용하기 위해서는 역기전력과 부하 간의 상호작용을 고려하여 전동기를 설계 및 제어해야 합니다.5. ... 응용전기전자실험2 결과보고서1주차 결과보고서수강과목 : 응용전기전자실험2담당조교 :학 과 :학 번 :이 름 :제출일자 :1.
    리포트 | 10페이지 | 1,000원 | 등록일 2024.02.27
  • 부산대 기전실1 7주차 예비보고서(교류전력에 대한 이해)
    이 외에도 전압위상을 제어하거나 여자전류를 조정하여 역률을 향상시키는 방법도 있다. ... 기초전기전자실험(Ⅰ) 예비 보고서교류전력에 대한 이해1) 교류전력에 대해 설명하시오.교류 전력은 교류 회로의 전력을 말한다. ... 교류 회로에서 전압의 실효값을 V, 전류의 실효값을 I, 유효전력을 P라 하면 pf(역률)=PI(VI)이다.일반적으로 모터, 코일 등을 사용하여 제작되는 전기설비는 유도성(지상) 부호이므로
    리포트 | 2페이지 | 1,500원 | 등록일 2022.04.08
  • 설비전기제어실험 리포트
    설비전기 제어실험20171388 전유민차례1. ... : 모터,전자클러치,솔레노이드 등으로 제어부로부터의 신호에 따라 실제의 동작을 행하는 부분검출부: 구동부가 행한 일이 정해진 조건을 만족한 경우, 그것을 검출하여 제어부에 신호를 보내는 ... 조건제어- 입력조건에 상응된 여러 가지 패턴제어를 실행하는 제어예) 자동화 기계 등에서 각종의 위험 방지조건이나 불량품 처리 제어, 빌딩이나 아파트의 엘리베이터 제어폐회로 제어계:
    리포트 | 6페이지 | 1,000원 | 등록일 2020.09.14
  • 인하대학교 / 기계공학실험A_복합재료 결과보고서
    및 크리프실험진동 실험동역학 실험A-5A-6A-7A-8도립 진자 및 능동진동제어모터제어금속재료복합재료이 름 :학 번 :담당교수 : 교수님담당조교 :제출일자 :기계공학실험A 2022년 ... 기계공학실험B 인하대학교 기계공학과제출자 :Revision. 12022년도 2학기기계공학실험 A< A-8 복합재료 실험 >Report(오후 6조)A-1A-2A-3A-4인장 및 충격실험좌굴 ... 이유, 증빙자료 및 참고문헌)이번 실험은 복합재료의 기본 이론과 제작과정을 배우고 제작한 시편을 3접점 굴곡 시험기를 통해 배열에 따른 탄성계수와 강도 등을 비교해보는 실험이었다.Symmetric
    리포트 | 6페이지 | 2,500원 | 등록일 2023.04.13
  • 직류전동기 예비보고서
    직류 전동기1.1 직류 타려 발전기실험목적- DC Motor/Generator 모듈을 이용해, 직류 타려 전동기의 작동 특성을 설명할 수 있다.실험논의분리된 직류 전압원에 의해 고정자 ... 따라서E _{CEMF}는 모터 A에 비례하므로 모터 속도 n과 전기자 전압E _{A} 사이의 관계는 직선이다.토크 T와 전기자 전류I _{A}의 관계도 위와 같다.실험방법1. ... 전기자회로를 가변전압의 직류 전압원과 연결하면 광범위한 속도제어를 할 수 있다.2.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.12.03
  • 현대자동차 직무PT면접 자료(대본 포함)
    2) ▪ 수행업무 시퀀스제어 환경 , 실용적 기능 구현 ( 이미지처리 , 시리얼통신 , 스텝모터제어 ) ▪ 활용 SW SOLIDWORKS, C#, Arduino ▪ 결과 모든 구성원의 ... 직무 관련 학습 이력 ▪ 개요 해석과 실험 활용 , 선풍기 날개 형상에 따른 유동 특성 차이 분석 연구 ▪ 수행업무 CFD 해석 , 가시화실험 , 풍속실험 ▪ 관련과목 유체역학 , ... 사진2) 제어 ”00” 03.
    자기소개서 | 23페이지 | 20,000원 | 등록일 2021.10.19 | 수정일 2021.11.03
  • 에탄올개질 예비레포트
    모터를 자동으로 제어하는 방식으로, 비례 동작, 적분 동작, 미분 동작을 적절히 조합하여 제어하는 것을 말한다.산업 현장에서 가장 많이 사용되고 있는 제어 방법이며, 다른 제어방법에 ... 적분(Integral), 미분(Derivative)을 항을 기반으로 출력을 조절한다.본 실험에서 온도를 제어하는 공정제어기로 활용한다.3. ... 에탄올개질 이론 및 실험1. 실험 목표에탄올 개질 반응 구현, 에탄올로부터 합성가스 유량 100ccm 제조2. 실험 원리합성가스(Synthesis gas)란?
    리포트 | 8페이지 | 3,000원 | 등록일 2021.06.26
  • [합격] 현대오트론 커넥티드,자율주행,ADAS H/W개발 자기소개서
    그래서 DC 모터에 ‘가위 바위 보’를 접목한 코딩을 만들었습니다. ... 실제 풍력발전기에 일반적으로 사용되는 ‘3상 교류 회로’를 쓰지 않고, 여러 가지 회로 설계 실험을 통해 가장 이상적인 ‘등가 변환 3*3 병렬 회로’를 풍력발전기에 적용했습니다. ... 스스로 가위 바위 보 대결을 하면서 속도를 증가 혹은 감소, 정지 기능을 할 수 있는 DC 모터를 설계하였고 그에 따라, 자율주행 코딩도 함께 진행하여 3번 구간을 통과할 수 있었습니다
    자기소개서 | 3페이지 | 3,000원 | 등록일 2021.01.01 | 수정일 2023.11.25
  • (금오공대 기계공학과) 기계공학응용실험2 기계제어 실험 보고서
    구동기(Actuator)의 원리와 종류를 조사하여 간략히 정리하시오구동기란 시스템을 구동하는데 사용하는 기계장치이다.구동기의 종류에는 전기에너지를 이용한 전기모터, 전자석을 이용한 ... 기계공학응용실험2 – 제어 및 계측 실험 실험 보고서 양식1. ... 제공된다.1) 실험 결과를 그래프등을 이용하여 분석해본다온오프제어기비례제어기비례미분제어실험 결과 온오프제어기와 비례제어기의 라인트레이싱 능력은 크게 차이가 없는 것으로 보여진다.
    리포트 | 13페이지 | 1,000원 | 등록일 2020.06.09
  • 진동 및 메카트로닉스 SLAM 실험 보고서
    하드웨어 추상화, 저수준 기기 제어, 등의 기능이 구현되어 있으며, 프로세스간 메시지 전달, 패키지 관리 기능 등을 제공한다. ... /turtlebot3_core으로 전달된 /cmd_vel topic은 연산과정을 거친 뒤 터틀봇에 내장되어 있는 모터를 구동시키게 되고, 그와 동시에 /tf topic를 발생하여 / ... (예를 들어, 노트북에서 커맨드를 입력시켰으나 모터가 작동하지 않은 경우, /tf변수는 변화가 있어 gmap에서의 터틀봇 위치는 변화하지만 Lidar의 /scan값은 변화하지 않게
    리포트 | 6페이지 | 1,500원 | 등록일 2021.12.08
  • 고분자 실험 예비보고서 Polymer Characterization by Rheometer
    변형제어 레오미터는 변형을 주는 모터와 그때 발생하는 응력을 측정하는 트랜듀서로 구성되어 있고, 응력제어 레오미터는 대부분 위쪽에서 응력을 주고(한부분에서) 변형을 동시에 측정한다.1 ... 실험 원리가. ... 실험 제목: Polymer Characterization by Rheometer2. 실험 날짜:3.
    리포트 | 5페이지 | 3,000원 | 등록일 2020.12.09
  • 카이스트(한국과학기술원) KAIST 일반대학원 기계공학과 자기소개서 연구계획서
    평가 모델 연구, 액정 엘라스토머 기반의 민첩한 인공 모터 유닛 연구, 장기 착용형 섬유 sEMG 센서의 2.5D 레이저 절단 기반 맞춤형 제작 연구 등을 하고 싶습니다.저는 또한 ... 위상 마스크 기반 스캐닝 근접장 홀로그래픽 리소그래피 연구, 스트레인 감도 향상을 위한 다중 재료 소프트 센서 구조의 파라메트릭 분석 연구, 두 가지 진동 유형에 대한 차량 승차감 실험 ... 초기 단계의 소규모 기름 유출에 대한 기름 수집, 회수 및 저장 장치가 통합된 신속하고 효과적인 기름 유출 대응 시스템 개발 연구 등을 하고 싶습니다.저는 또한 능동형 도로 소음 제어에서
    자기소개서 | 1페이지 | 3,800원 | 등록일 2023.09.06
  • 전남대학교 자동차시스템공학 기출문제유형분석 자기소개서 작성 성공패턴 면접기출문제 논술주제 지원동기작성요령
    공학도로서 지난 4년간 인간에게 널리 이로운 기계를 만 들고자 대학의 실험실과 캐드실에서 땀 흘려온 000입니다. ... 전동기는 이미 지난 수십년간 매우 높은 완성도로 충분히 성숙하였으며, 전동기 제어기술도 수 메가와트 급의 전동차까지 전자식 운용이 가능할 정도로 우수하다. ... 대표적인 예시로, 수소자동차는 일반적으로 수소연료전지차를 말 하는 것인데 이 수소연료전지 자동차도 수소를 전기로 바꿔서 그 전기 로 모터를 구동하므로 결국 전기자동차의 일종이다.
    자기소개서 | 248페이지 | 9,900원 | 등록일 2022.12.31
  • 사출성형공정
    많은 유압 요소들은 이러한 힘을 필요로 하는데, 유압요소에는 펌프, 밸브, 유압 모터, 유압 부속품, 유압 배관 및 유압 저장소등이 있다.5)제어 시스템제어 시스템은 성형기 운전에서 ... 고분자 가공실험(사출 성형)목 차? 실험 목적? 실험 원리 및 이론? 시약 및 실험기구? 실험 과정? 결과 및 고찰? 참고문헌1. ... 이는 온도, 압력, 사출속도, 스크류 속도 및 위치 그리고 유압위치등을 포함하는 공정변수를 감시하고 제어한다.
    리포트 | 12페이지 | 5,000원 | 등록일 2021.04.07 | 수정일 2022.03.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:35 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대