• 통큰쿠폰이벤트-통합
  • 통합검색(2,036)
  • 리포트(1,894)
  • 시험자료(94)
  • 방송통신대(21)
  • 자기소개서(16)
  • 논문(7)
  • 서식(3)
  • 이력서(1)

"7-세그먼트" 검색결과 361-380 / 2,036건

  • [전기실험]BCD를 7세그먼트 디코더 칩셋과 디스플레이를 이용하여 10진수로 표현
    자동 판매기의 금액 표시에 사용되고 있는 등 주위에서 흔히 볼 수 있는 소자이다.BCD-to-7 세그먼트 디코더란 4비트로 구성된 BCD 값을 입력으로 받아들여 7segment 표시기에 ... (1) 실험 목표BCD를 7세그먼트 디코더 칩셋과 디스플레이를 이용하여 10진수로 표현 할 수 있다.(2)실험 이론디지털 신호는 0,1로 이루어져 있고 이를 2진수로 표현한다. ... display와 같은 디스플레이 소자를 이용하여 표현 가능하다.7 세그먼트 표시기는 0~9까지의 숫자를 표시하기 위한 소자로서 아래와 같은 모양을 갖고 있다.0~9까지의 숫자를 나타내기
    리포트 | 5페이지 | 1,500원 | 등록일 2019.06.29
  • [예비레포트] 숫자표시기 아두이노
    그림3이 정논리 동작이라 한다면 그림4는 LOW일 때 켜지기 때문에 부논리동작이라 할 수 있다.7-세그먼트 디코더/구동기 - BCD코드가 주어지면 이것으로 7segment display의 ... 여덟개의 LED를 내장하고 있다.숫자표시기는 그림2와같이 모든 다이오드의 아노드가 공통으로 묶여있는 공통캐소드형과 모든 다이오드의 캐소드가 공통으로 묶여있는 공통아노드형이 있다.7세그먼트 ... 아두이노개요기존에 수행했던 숫자표시기 회로의 동작을 아두이노를 이용해 되풀이 해보고, 과거회로와 비교하여 어떤 부분을 프로그램으로 대체해 응용할 수 있는지 학습한다.관련이론숫자표시기7-
    리포트 | 5페이지 | 1,000원 | 등록일 2019.08.22
  • 시립대 전전설2 [8주차 예비] 레포트
    Purpose of this Lab7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한 ... 코드를 키박스에 넣어서 원하는 세그먼트 숫자와 부저의 소리가 나는지 확인하는 실험나. ... .8개의 LED의 조합으로 문자 표시가 이루어지기 때문에 7-Segment를 제어하기 위한 디코더의 설계가 필요하다7-Segment Decoder7-Segment Decoder2.
    리포트 | 8페이지 | 2,000원 | 등록일 2019.07.29
  • 디지털공학실험(디지털시계프로젝트) A+받은 자료입니다
    Common-An7세그먼트이다.Common-Cathode Type은 내부 LED의 Cathode가 Common Pin에 연결되고, Anode각 각각의 8개의 Pin으로 연결되는 7세그먼트이다.그렇기 ... 위 사진은 7세그먼트의 7개 영역을 이용해서 숫자를 조합한 모습이다.7세그먼트는 두 가지 종류가 있다. ... 7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다.위와 아래에 사각형 모양으로 두 개의 가로 획과
    리포트 | 14페이지 | 5,000원 | 등록일 2018.09.16 | 수정일 2020.12.22
  • 논리회로와 7세그먼트
    논 리 회 로1. 7-Segment와 And, Or, Not gate의 특성1) 7-Segment- 7-세그먼트는 8개의 독립된 LED들로 구성된다.(7개의 세그먼트와 하나의 소숫점 ... 모습이다.◆ 7-세그먼트의 구동방식- 7-세그먼트는 정적 구동방식과 동적 구동방식으로 구동할 수 있다. ... 그러므로 7-세그먼트를 선택할 경우에는 필요한 LED가 AC형인지 CC 형인지를 확인하여야 한다.◆ 7세그먼트 표시 장치- 다음은 일반적으로 각 숫자에 해당하는 7세그먼트 표시 장치의
    리포트 | 11페이지 | 1,500원 | 등록일 2010.05.20
  • Primavera P6를 활용한 평택대교 상부공사 분석_졸업논문_토목공학과, 사회기반시스템공학
    이동식작업대(Form Traveller)를 설치하여 세그먼트 콘크리트 타설 및 프리스트레스 도입을 연속적으로 할 수 있는 현장타설 캔틸레버(Cast-In-Place Balanced ... 특수한 가설장비를 이용하여 각 교각으로부터 좌우로 평형을 맞추면서 포스트텐션(Post-tensioning)에 의해 세그먼트(Segment)를 순차적으로 접합해 나가면서 경간을 구성하며 ... 공 구: P1 ~ P7? 공 기: 2010년 5월~ 2015년 12월(6년)?
    논문 | 16페이지 | 3,000원 | 등록일 2020.12.04 | 수정일 2021.01.04
  • 논리회로실험 팀 프로젝트
    논리회로5-1[동기식 카운터]의 논리 회로도[3입력 7-세그먼트]의 카르노맵[3입력 7-세그먼트]의 논리 회로도5-2 실험순서① 실험을 하기에 앞서 3입력 7-세그먼트의 진리표를 작성하여 ... 그리고 3입력 7-세그먼트를 카르노맵을 이용해 간소화를 하고 동기식 8진 카운터의 출력값을 7-세그먼트의 입력 값에 넣어 0~7까지 숫자가 나오는지 확인하고 동기식 카운터와 7-세그먼트를 ... 실험제목동기식 8진 카운터를 이용한 7-세그먼트3.
    리포트 | 9페이지 | 1,000원 | 등록일 2018.03.02
  • [2018 하반기] 계명대 창조경제와 청년창업 중간고사 내용 정리
    음반 산업7. TV8. 컴퓨터 관련 장치들9. ... 나노 의학치료7. 노인 복지 관리사8. 우주를 나는 파일럿9. ... 해도 반나절 요금을 내야 하는 렌트카 대신 근처에 주차된 차를 싸게 빌려 탈 수원7.
    시험자료 | 14페이지 | 2,000원 | 등록일 2019.06.15
  • 데이터 통신과 컴퓨터네트워크 9장 연습문제
    포트,소켓,wELL-Known 포트7. SYN,ACK8. 슬라이딩 윈도우, Window9. ECE, CWR10. ... 세그먼트,바이트11. 412. 1.313. 1,2,3,514. 1,2,4,515. 1,3,516. 4,517. 1,2,3,4,518. 1,2,3,519. ... 위치, TCP 헤더의 크기Reserved(예약) : 예약 필드Window(윈도우) : 수신 윈도우의 버퍼 크기를 지정하려고 사용(byte 단위)Checksum(체크섬) : TCP 세그먼트
    리포트 | 2페이지 | 1,000원 | 등록일 2019.05.19
  • 시립대 전전설2 [8주차 결과] 레포트
    Purpose of this Lab7세그먼트의 동작을 익히고 Verilog HDL 언어를 사용하여 7-segment, Piezo 등 주변 디지털 장치 제어를 하고 실제 실험에서 코딩한 ... Summarize experiment contents & purpose of this LabFND COUNTER를 구현하는 방법을 익혔고 7-세그먼트 디코더를 사용하여 FND와 FND ... 코드를 키박스에 넣어서 원하는 세그먼트 숫자와 부저의 소리가 나는지 확인하는 실험나.
    리포트 | 12페이지 | 2,000원 | 등록일 2019.07.29
  • 마이크로컴퓨터(시간지연함수를 이용한 스위치 디바운싱) 프로젝트 과제/ 레포트
    공통 캐소드(CC) 7-세그먼트 LED공통 캐소드(CC) 7-세그먼트 LED의 구조는 ‘그림 1’의 (b)와 같다. 3, 8번을 제외하고 1~10번 핀은 AVR의 출력핀을 저항을 통해 ... 카운팅 함으로써 다시 새로운 숫자를 7-세그먼트로 출력해보는 실험이었다. ... LED공통 애노드1저항330[Ω]8스위치DIP 3 Pin1라운드 핀 해더3스위치고정용- 7-segment LED : 7-세그먼트 LED는 공통 캐소드 또는 애노드 구조를 갖는 8개의
    리포트 | 10페이지 | 2,000원 | 등록일 2018.08.19
  • 디시설 - 4비트 가산감산기 , BCD 가산기
    입력으로는 0~9의 값 2개를 받고 합을 구해서 BCD로 변환시켜 7-세그먼트 디스플레이에 출력시켰다. ... (예, 5+7 = 12, carry=1, bcd = (12+6) mod 16 = 2 -> 세그먼트 출력 : 12)result_value : result_value는 integer형과 ... (예, 5+4=9, carry =0, bcd=0 -> 세그먼트 출력 : 09)만약 합이 10보다 큰 경우, 즉 10의 자리수도 표현해야 하는 경우, carry는 1을 할당하고 bcd에는
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.20
  • 스톱워치 구현 보고서
    하지만 무시할 수 있을 정도의 짧은 시간이므로 6진 카운터로 동작한다.4) 7세그먼트(FND)7세그먼트는 LED 8개가 내장되어 있는 소자로, 7개의 LED를 조합하여 숫자와 문자를 ... 실험내용1) 실험부품구분부품 번호수량비고FND5101CSR (캐소드 타입)1적색ICSN74LS0012-NANDICSN74LS0812-ANDICSN74LS482BCD-7SEG 디코더ICSN74LS764JK ... 위한 mod-6 카운터 회로를 설계한다.③ 주어진 회로 구성도와 같이 두 회로를 직렬연결한 후 BCD-7SEG 디코더를 거쳐 FND에 연결하는 회로를 구성한다.* 회로 구성도④ 완성된
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.03
  • 세그먼트(7segment) 실험보고서
    이 회로는 9490A의 BCD2) 7-세그먼트는 에노드 타입과 캐소드 타입으로 나뉘며, 에노드 타입은 포토다이오드의에노드 쪽이 공통으로 Vcc로 묶여 있으며 입력전압이 기준이 되어 ... - 7-Segment를 제어하기 위해서는 8개의 포트가 필요- 즉, ATmega 8535에는 32개의 포트가 있기 때문에 총 4개의 7-Segment를 제어 가능- 이를 두 배로 up시켜주려면 ... 필요부품 및 기기- 디지털 회로실험기, DCT - 201 1대- 7490 A IC 1대- 74193 IC 1대- 7segment ,1개4.
    리포트 | 7페이지 | 2,000원 | 등록일 2011.11.21
  • 세븐세그먼트 디코더
    LED 디스플레이그림 7-세그먼트 LED 디스플레이그림 3은 흔히 쓰이는 7-세그먼트 디스플레이를 보인 것으로 각 세그먼트는 전류가 흐를 때 빛을 발하는 LED로 되어있다. ... 문자 A~F를 발생시키기 위해 7-세그먼트를 사용한다. ... 이론7-세그먼트는 디스플레이는 자동차 계기판에서 Z-미터에 이르기까지 모든 산업분야에 걸쳐 사용되고 있다.
    리포트 | 4페이지 | 1,500원 | 등록일 2010.06.18
  • 2017 컴퓨터 네트워크 기말고사 족보
    7-2. 수신단의 TCP 수신버퍼가 더 받을 수 있는 바이트 수는?7-3. flag 값에 따른 segment type은 무엇인가?7-4. ... (1)6-5. payload의 마지막 바이트 번호는 무엇인가?7. ... (윈도우 가장 왼쪽의 바이트 번호)7-5. TCP헤더의 크기는 얼마인가?
    시험자료 | 2페이지 | 1,500원 | 등록일 2019.01.01 | 수정일 2020.06.24
  • 운영체제 과제 연습문제 4,5,6장
    다음 연습문제를 풀어 제출하시오.4장) 1, 3, 4, 7, 8, 15, 175장) 1, 3, 4, 8, 12, 196장) 1, 2, 7, 84-1. ... 참조하는 주소이고, 실제 주소는 주기억장치 상에서 이용할 수 있는 주소로 수행되는 프로세스는 가상 주소만을 참조하게 되고, 이 주소가 프로세스가 수행될 때 실제 주소와 사상된다.4-7 ... 시작점 레지스터에 있는 시작주소에 더하여 세그먼트 사상 테이블에서 세그먼트 s에 대한 항목을 찾아 세그먼트 s가 주기억장치 상의 위치 s'에서 시작됨을 결정한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2018.11.18
  • 논리회로 Term Project/디지털논리회로 설계 프로젝트/7Segment활용 논리회로 설계/실생활에 활용가능한 논리회로 설계
    하였습니다.마지막으로 주방 모니터에 회로를 연결하여 주방에서 주문된 음식을 알 수 있도록 모니터로 표현하였습니다.Discussion저희가 토론한 결과 보완해야할 점과 아쉬운 점은 주방 모니터의 7- ... 먼저 음식의 수를 카운터 하기위하여 10진 카운터를 사용하였고, 10진 카운터의 2진수를 사람이 알아 볼 수 있도록 10진수로 표현하기 위하여 7세그먼트를 사용하였습니다. ... 세그먼트 숫자를 DeMUX를 써서 줄일 수도 있었지만 DeMUX를 써서 나타내면 다른 테이블이 주문을 하는 순간에 전에 왔던 주문이 주방모니터에서 사라지므로 우리가 디자인 회로가 더
    리포트 | 10페이지 | 2,000원 | 등록일 2018.08.19 | 수정일 2018.08.24
  • 마이크로 프로세서 ( 어셈블러 ) 의 기능과 구성요소 및 매크로 명령어
    경우 - 세그먼트 이름 : SD- 외부 모듈에서 정의 : ER- 내부에 정의된 기호 : LD- 링킹 로더 : 재배치 정보를 검사, 2패스기존 링커는 연결만 가능링커, 로더의 기능 ... LOOP2, DATA3, DATA2, DATA1(실인수) LOOP2 : ADD AX, DATA3ADD BX, DATA2ADD CX, DATA1-- 매크로 호출은 코드 세그먼트 사이에 ... 정의가 다른 모듈에 있거나 또는 부 프로그램의 이름일 때는(외부 기호) 주소를 알 수가 없다.이와 같이 외부에서 정의된 기호 또는 모듈의 이름을 이용하는 것을 외부 참조라 한다.7.
    리포트 | 4페이지 | 1,500원 | 등록일 2019.08.05
  • 디지털논리회로 MULTISIM 레포트 7-segment
    공통 단자는 그라운드에 연결되고, 5볼트가 입력되면 LED가 켜진다.7-세그먼트 원리에 대해 설명하는데 BCD-to-7 세그먼트를 기준으로 하겠다.BCD-to-7세그먼트 디코더는 4비트로 ... *BCD-to-7세그먼트 디코더 기능을 수행하는 TTL7446, 7447 칩은 애노드 공통형 7세그먼트 표시기를 위한 디코더이며, 7448, 7449는 캐소드 공통형 7세그먼트 표시기를 ... BCD-to-7세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 붙여졌지만, 실제로는 4비트 십진수를 7비트 코드로 변환하는 코드 변환기라고
    리포트 | 11페이지 | 1,000원 | 등록일 2017.06.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:14 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대