• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,056)
  • 리포트(1,913)
  • 시험자료(95)
  • 방송통신대(21)
  • 자기소개서(16)
  • 논문(7)
  • 서식(3)
  • 이력서(1)

"7-세그먼트" 검색결과 161-180 / 2,056건

  • 확률의개념과응용 출석수업과제
    (x=7, y=1)P(D)=0.03, P(Dc)= 0.97질병에 걸린 사람 중 97% 양성: P(T+|D)=0.97질병에 걸린 사람 중 음성: P(T-|D)=0.03질병에 걸리지 않은 ... 판매자는 이러한 정보들을 바탕으로 의사결정에 참여하여 소비자들의 구매욕구를 증진하는 데 활용한다.참고문헌:“플래티어 '그루비 AI 세그먼트' 출시…구매 확률도 예측,” (2021. ... 행동을 분석하고, 내 점포로부터 이탈할 우려는 없는지, 꾸준히 물건을 구입해 줄 잠재적인 충성고객으로 남을 지 등을 세세하게 분류하고 분석하여 알려준다.눈에 띄는 기능은 ‘구매확률 세그먼트
    방송통신대 | 4페이지 | 5,000원 | 등록일 2022.04.25
  • 디지털공학 레포트 모음
    이 때 선들은 high 상태에서 활성이다.만약 AND 대신에 NAND 게이트를 사용하면, 출력선들이 low 상태에서 활성인 회로를 구현할 수 있다.● 7-segment LED- 7세그먼트는 ... 여기서 각각의 LED는 독립적으로 점등되며 점등된 LED를 조합하면 여러 가지 점등패턴을 가진다.fecdbgafecdbgaabdefgcCC공통 캐소드 형 7 세그먼트CA공통 애노드 ... 형 7 세그먼트bar { a}bar{b}bar{c}bar{d}bar{e}bar{f}bar{g}숫자점등 LED0a, b, c, d, e, f1b, c2a, b, d, e, g3a, b
    리포트 | 98페이지 | 5,000원 | 등록일 2021.05.16
  • 마이크로 프로세서 시계 프로젝트
    PORTC = 세그먼트에 나타나는 값을 정해줍니다.PORTG = 세그먼트의 위치를 나타냅니다.3. ... 설계(설계 과정 설명)1.요구 기능a. 7-segment의 각 자리 수에 지정된 시간을 표시한다.b. ... 함수를 사용하여 코드를 프로그래밍 한다.-3) delay_ms, delay_us를 활용하여 정확한 시간을 맞춰준다-4) count변수의 계산 활용을 통해 7-segment에 나타나는
    리포트 | 7페이지 | 1,500원 | 등록일 2020.04.29
  • 치과 의료기기 산업분석
    국내 주요 업체들은 Value 세그먼트 가격이 제품 선택에 중요한 요소 - 한국 업체는 프리미엄 브랜드 보다는 50% 저렴하게 , 로컬 업체들의 저가 임플란트 보다는 높게 책정 1 ... 두터운 밸류체인 - 이해관계자들을 모두 만족시키는 것이 신생 업체들은 쉽지 않다 6. 시간이 지나면 자연스럽게 과점화가 될 수 밖에 없는 구조 - 성장률이 높은 수준은 힘듦 7. ... 조원 규모 22 년까지 연간 3.7% 성장 진단하는 물리적 현상에 기초하여 방사선 및 비방사선 진단기기로 분류 X-ray, CT, MRI 등 최근 전 분야에 걸쳐서 촬영시간의 단축
    리포트 | 21페이지 | 3,000원 | 등록일 2022.03.14
  • 기업은 시장의 변화에 대응하고 자신이 보유한 제품을 타겟 고객에게 정확하게 전달하기 위하여 여러 가지
    분리하고 타겟 세그먼트를 설정하고 포지셔닝 전략을 구체화는 단계에서 생겨난 전략이다.문제에서 제시된 바처럼 기업은 시장 변화 대응과 제품에 대한 정확한 타겟 전달을 위해 시장세분화를 ... 해당되는 용어로 오프라인 마케팅에 있어 하나의 상품을 기획하고 마케팅을 수행하는데 굉장히 대규모 자원이 필요하기 때문에 마케팅을 하기 전 차별화를 위해 대규모 소비자 조사를 통해 세그먼트를 ... 이는 제품의 기능적 속성을 강조하기 보다 간접화법을 통한 이미지 전달에 중점을 둠으로써 브랜드 전략에 성공한 예라고 할 수 있다.특히 언제나 코카콜라라는 슬로건이 7년 동안 120편
    리포트 | 4페이지 | 2,000원 | 등록일 2023.09.01
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 10차 예비보고서
    삽입하여 7-segment의 각 세그먼트의 허용전류를 초과하는 것을 방지하였다. ... Segment 구동 회로 설계Decoder 와 7-segment 를 이용한 7-segment 구동 회로를 설계한다.74LS47 Decoder와 7-segment 사이에 330Ω 저항을 ... Decoder는 진리표에서 확인되듯 7-segment의 입력단자로 0을 출력하는 common anode decoder 구조이므로 Common anode type 7-segment를
    리포트 | 9페이지 | 1,000원 | 등록일 2024.02.17
  • 한기대 디지틀시스템 설계 및 실습 과제4 동전자판기(보고서 및 소스 포함)
    동전 버튼을 누르면 7-세그먼트에 금액이 표시되고 각 버튼을 누를 때마다 합산된다. 최대 4000원까지 넣을 수 있으며 이 이상 되면 동전이 반환된다.
    시험자료 | 2페이지 | 6,000원 | 등록일 2020.11.04 | 수정일 2020.11.07
  • 기업의 지속적 성장과 성공적인 마케팅 성과를 창출하기 위하여 많은 기업들이 관계마케팅을 추진
    '최고의 우정'을 나눠라, 2011.7. ... -목차- I. 서론 II. 본론 1. 관계마케팅과 전통적 마케팅의 차이점 2. 관계마케팅의 이점 3. 관계마케팅 추진 성공 사례 III. 결론 및 소견 IV. 참고문헌 I. ... 워커힐 호텔은 관계마케팅을 성공적으로 실시하기 위하여 우선 고객 세그먼트(Customer segment)를 분리하고, 소비 특성을 공유하는 각각의 세그먼트 집단에 대하여 그 집단이
    리포트 | 4페이지 | 2,000원 | 등록일 2024.08.02
  • 부산대학교 기계공학기초실험및설계_개인별 텀프로젝트 계획서
    표시장치 (네이버 지식백과, 전기용어사전)7 세그먼트 단자상태 정리표 (wikidocs, 7Segment)PAGE \* MERGEFORMAT- 10 - ... 지식백과, 기계공학용어사전)로드 셀 (기계공학기초실험및설계 강의자료 Ch07)정전용량형 근접 센서 (네이버 지식백과, 센서용어사전)근접 스위치 (기계공학기초실험및설계 강의자료 Ch03)7세그먼트 ... 전기 생산이 좀더 효율화 됨에 따라 전기 모터는 이동식 부품들로 구성된 대부분의 가전기기(세탁기, 선풍기, 믹서 등)에서 핵심 요소로 이루어져 있다.- 7Segment7개의 조명편을
    리포트 | 11페이지 | 2,000원 | 등록일 2022.07.08
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    구현한다. oS_COM(SEG_COM)은 각 세그먼트 모듈(숫자 하나)을 켜거나 끄고 HBE-COMBO에서는 0일 때 켜진다. oS_ENS(SEG_DATA)는 7 세그먼트의 각 획 ... 따라서 십의 자리를 위한 BCD to 7segment를 제거해주어야 한다.7-segment 진리표3. 7 segment Controller 구현다음의 그림과 같이 7 세그먼트 컨트롤러를 ... BCD-to-7segment 모듈은 각 자릿수마다 하나씩 필요하다.1. BCD-to-7 segment 진리표를 채운다.2.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 디지털 논리회로 3주차 예비보고서
    따라서, 0001 -> 00, 0010-> 01, 0100->10, 1000->11이다.2.3 기본실험 (3)7을 표시하려면 7세그먼트 led디스플레이에서 f,a,b,c에 불이 들어와야한다 ... 따라서 입력 ABCD는 0111이 되어야 7이 출력이 된다.1.4 BCD to 7-segment decoder 7447과 7-segment 5161의 datasheet를 확인하시오.516174477447은 ... NAND게이트, 입력버퍼 및 7개의 AND-OR-INVERT게이트로 구성되어있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.12.04
  • (전자회로실험)변압기를 사용한 전원 및 여파 장치 결과보고서
    전해 캐패시터의 C1이 앞서 7-1회로의 저항에 추가하여 병렬로 연결되어 있다. ... 그림 7-5는 파이형 여파기에서 블리더 저항의 배선위치를 보여준다.3. 데이터정리 ... 이러-한 전원으로부터 흘러 나오는 부하전류는 똑같은 부하가 그림 7-2(a)회로의 출력에서 발생시키는 리플보다 더 작은 리플을 발생시킨다.
    리포트 | 4페이지 | 1,800원 | 등록일 2019.09.08 | 수정일 2021.08.03
  • 투석기 FMC 메뉴얼
    펌프 세그먼트가 빠져나오고 동맥압 측정 장치가 열린다.7. 메시지 : 계속 하기 위해 도어를 엽니다.도어를 연다.8. ... OK 버튼을 누른다.7. 메시지 : blood reinfusedReinfusion-Continus , Blood lines ? ... (펌프 세그먼트가 자동으로 들어가고 동맥압 측정장치는 닫힌다.)1ID (환자식별) 치료기록지가 표시. patientcard로 현재 치료정보 조회가능9.
    리포트 | 21페이지 | 2,500원 | 등록일 2023.06.17
  • 카운터의 응용으로 디지털시계의 회로도를 완성해가는 과정을 설명하시오. 4가지 기본형 레지스터의 분류에속하는 IC들을 정리하시오.
    이것을 다시 60분주 하면 매분 1사이클의 펄스를 얻을 수 있으며 이것을 다시 12분주 하면 매시간 1사이클의 펄스를 얻을 수 있으므로 적당한 카운터를 설계하여 그 출력을 디코딩하여 7세그먼트 ... 수 개의 flip-flop의 직렬로 구성된 레지스터에서 최종 flip-flop의 출력을 처음 flip-flop의 입력에 피드백시킴으로써 상태가 순환적으로 변하는 회로를 얻을 수 있는 ... 카운터(counter) 플립-플롭(flip-flop)의 큰 응용으로서 입력되는 펄스의 수를 세는 counter(계수기)가 있는데 이는 모든 디지털 계측기기와 디지털 시스템에 필수적이라
    리포트 | 6페이지 | 3,000원 | 등록일 2021.06.02
  • 12. Stopwatch 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    단 7-segment 의 각 세그먼트 허용전료를 넘지 않도록 중간에 저항을 삽입해준다. ... 이때 7-segment 의 각 세그먼트 허용전료를 넘지 않도록 중간에 330 Ω 저항을 삽입해주었다.Stopwatch 의 정상 동작을 위해 MC14511B 의 LE = Low, BI ... 실습 활용 방안- 10진 카운터 칩과 7-Segment Decoder, 7-Segment LED 를 연결하여 Stopwatch 회로를 설계하였다.
    리포트 | 11페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
  • 상품카테고리 관리 자료입니다.
    1 세그먼트 2 세그먼트 3 19 /56Section 2-10. ... 극대화 36 /56운영사례 ② : 매출 성장 식초상품군 확대를 통한 평효율 상승 분류 Test 점 기존점 격차 식 초 61.9% 2.9% 59% 케찹 / 마요네즈 1% ▲ 6% 7% ... 분류 여성용품 카테고리 구조도 생리용품 생리대 탐 폰 팬티라이너 필름커버 부직포커버 울트라날개 슬림 날개 울트라일반 슬림 일반 대 형 소 형 중 형 특대형 카테고리 서브 카테고리 세그먼트
    리포트 | 44페이지 | 2,500원 | 등록일 2023.03.14
  • TV광고 또는 인쇄광고들 중에서 1개의 광고를 선정하고, 그 광고의 STP(세분화 기준, 표적시장 선정, 포지셔닝전략) 전략을 상세히 기술하시오.
    특히 언제나 코카콜라라는 슬로건이 7년 동안 120편 이상의 광고로 전 세계에 방영되며 긍정적인 기회의 이미지인 미국의 대표 상징으로써 국내에도 선진화된 제품으로 이미지가 전달되었고 ... 분리하고 타겟 세그먼트를 설정하고 포지셔닝 전략을 구체화는 단계에서 생겨난 전략이다.3) Positioning (포지셔닝)포지셔닝의 정의는 경쟁우위 달성을 목적으로 경쟁사의 제품과 ... 해당되는 용어로 오프라인 마케팅에 있어 하나의 상품을 기획하고 마케팅을 수행하는데 굉장히 대규모 자원이 필요하기 때문에 마케팅을 하기 전 차별화를 위해 대규모 소비자 조사를 통해 세그먼트
    리포트 | 4페이지 | 2,000원 | 등록일 2023.01.19 | 수정일 2023.01.20
  • 컴퓨터과학개론 , 다음 8문제 중에서 반드시 5개만을 선택해서 정리하여 제출하시오.
    조합회로와 순차회로의 개념과 종류를 나열하고 설명하시오.7. 데이터베이스 시스템의 3단계 구조와 관련해서 스키마에 대해 설명하고 비교하시오.참고문헌2. ... 이 세그먼트를 블록으로 사용하는 기법이다. ... 마지막 레벨 h 에서 1부터 2h-1 개의 노드를 가질 수 있다. 또 다른 정의는 가장 오른쪽의 잎 노드가 (아마도 모두) 제거된 포화 이진 트리다.
    방송통신대 | 6페이지 | 5,000원 | 등록일 2020.11.17
  • 시스템 프로그래밍 3장 연습문제 와 16비트32비트 차이점
    저장주소를 기억한다.⑵ 베이스 포인터(BP) - 스택 세그먼트(SS) 영역내에 배치한 데이터에 대한 베이스 주소를 저장해 두기 위해 사용된다.3) 인덱스 레지스터 - 데이터의 주소 ... 위한 플래그로 구분된다.5) 세그먼트 레지스터 - CS, DS, ES, SS 영역의 선두 주소를 가리킨다.3.2 CS의 값이 1B00h이며, IP의 값은 00FFh이다. ... SignFlag의 값이 0이면 양수, 1이면 음수3.7 명령어에 데이터 크기 코드를 표시하는 이유는 무엇인가?
    리포트 | 2페이지 | 1,000원 | 등록일 2019.12.03
  • 2019년 한서대 컴퓨터 네트워크 족보
    호스트가 ISP에 연결될 때,7. ... 정보유지X메시지가 ASCII텍스트FTP파일전송프로토콜 제어연결과 데이터연결 동시 사용제어정보를 아웃밴드로 보낸다 표현사용자에 대한 상태유지해야함SMTP인터넷 전자메일의 중심 단순한 7비트 ... 트랜스포트 계층 패킷을 세그먼트 라고 부른다.네트워크 계층인터넷의 네트워크 계층은 한 호스트에서 다른 호스트로 데이터그램을 라우팅하는 책임을 진다.
    시험자료 | 7페이지 | 1,500원 | 등록일 2020.06.17
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:48 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대