• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,056)
  • 리포트(1,913)
  • 시험자료(95)
  • 방송통신대(21)
  • 자기소개서(16)
  • 논문(7)
  • 서식(3)
  • 이력서(1)

"7-세그먼트" 검색결과 121-140 / 2,056건

  • (중소기업창업론) 창업아이디어 평가기법인 RWW 분석과 창업기업의 사업 수행방식을 분석할 수 있는 비즈니스 모델 캔버스 및 그 구성요소
    -Ⅰ. ... 고객 관계의 궁극적인 목표는 기업에 긍정적인 고객 관계를 구축하여 소비자들이 기업의 제품이나 서비스를 이용할 수 있게 하는 것이라고 말할 수 있을 것이다.7) 핵심 자원자원이라는 것은 ... (customer segments), (3) 핵심 자원(key resources), (4) 비용구조(cost structure) 및 수익원(revenue streams)]- 목 차
    방송통신대 | 6페이지 | 2,000원 | 등록일 2022.06.23
  • 명지대_마케팅과ICT융합기술 과목_평점A_수업내용정리과제
    응용 프로그램(프로세스)간에 메시지를 전달하며 이를 위해 포트 번호를 이용한다.세그먼트란 TCP에서 전달되는 데이터를 말한다.세그먼트는 인터넷과 라우터를 거치기 때문에 IP가 캡슐화하여 ... 포트목적지 포트▲TCP헤더:20바이트▼순서 번호수신 확인 번호헤더 길이(HLEN)예약(Reserved)URGACKPSHRSTSYNFIN윈도 크기체크섬긴급 포인터옵션데이터▲ TCP 세그먼트의 ... OSI 7계층(기능, 역할, 구조 등)OSI 7계층 프로토콜이란?
    리포트 | 16페이지 | 2,000원 | 등록일 2023.01.25
  • VHDL를 이용한 FPGA설계 레포트코드해석본
    모두 초기화에 해당되는 공통적인 7비트 세그먼트 선택값을 보내준다.? ... 설계 구현에 사용된 구성품Kit7-Segmentpush buttonLEDBUZZER비트코인 시세고정비트코인 시세하락비트코인 시세상승? 입력버튼 설계2. ... WORD기본 문자입력과 특수기능의 총 14개 입력버튼, 세그먼트 선택과 출력값을 각각 시그널로 지정합니다.
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • E비즈니스 중앙대 중간고사 토론자료 정리
    도출된 비즈니스 모델을 플랫폼으로 생태계연결플랫폼이란 다양한 이해관계자의 협업이잘 이루어질 수 있도록 기능적 시스템 구성6)제도개선:공유경제 체제로 전환하기 위해 제도혁신을 연구7) ... 누가 우리의 가장 중요한 고객인가(매스마켓.틈새시장.세그먼트가 명확한시장.복합적 세그먼트 혼재시장.멀티사이트 시장)2.VP 가치제안특정 고객 세그먼트가 필요료하는 가치를 창조하기 위한 ... 한쪽고객에 보조금을 지급해 해결가능하다각 고객 세그먼트는 각기 다른 수익원4.Free as a Business Model하나의 핵심적인 고객 세그먼트가 무상 제공의 혜택을 지속적으로
    리포트 | 11페이지 | 2,000원 | 등록일 2021.12.07
  • [디지털공학개론] 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    초나 분을 표현하는 6진수 또는 10진수 카운터는 BCD-to-7 세그먼트 디코더 TTL 7447을 사용해 값을 나타낼 수 있다. ... 또한 12진수 카운터의 값이 10 또는 11일 때는 TTL-7447 디코더와 7-세그먼트로는 표시할 한 자릿수로 표시되기 때문에 알아보기 어렵다. ... 세그먼트를 사용하여 표현되어야 한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2022.06.30
  • 디지털 시계 설계 설계보고서(충북대 및 타 대학교)
    작동원리1) 디지털 시계의 구성에 나타낸 디지털 시계의 전테 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 ... 7-세그먼트 표시기에 우리가 실제 사용하는 숫자 모양으로 표시할 수 있도록 해주는데 착안. ... 코드를 정보화하는 회로- n비트의 2진수는 (2 ^{n})의 정보를 담음② BCD-7 세그먼트 디코더 : 숫자 표시 전용 장치③ 시간을 표시하기 위한 디코더 설계- 방법 1 : 디코더는
    리포트 | 18페이지 | 2,500원 | 등록일 2020.11.19 | 수정일 2020.12.04
  • 전기및디지털회로실험 아두이노M3 예비보고서
    형태가 있는데 모든 다이오드의 아노드가 공통으로 묶여 있는 공통캐소드 형과 모든 다이오드의 캐소드가 공통으로 묶여있는 공통아노드형이 있다.7-세그먼트 표시기의 구동: 각각의 led는 ... 이때 숫자표시기 안에 있는 모든 led의 아노드가 전원에 공통적으로 연결되어야 하므로 공통아노드형의 표시기를 사용하여야 한다.7-세그먼트 디코더/구동기: BCD코드가 주어지면 이것으로 ... 7-segmentm display의 led들 중 적절한 것을 켜주어 해당 숫자가 표시되도록 하여 주는 ic를 bcd-to-7-segment decoder/driver라고 부른다.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.03.20 | 수정일 2021.09.03
  • 고분자 재료설계 part 1 레포트
    따라서 각 세그먼트는 임의의 방향의 단위 벡터로 설명할 수 있다.[7]-사슬 팽윤비: 고분자 사슬은 용액, 용매 종류에 따라서 크기가 달라지고 이를 사슬 팽윤비 (chain expansion ... 이러한 사슬을 랜덤비행(flight) 사슬, 자유연결(freely-jointed) 사슬, 또는 랜덤코일이라 한다.[7]- 사슬의 크기: 사슬의 크기의 척도는 말단 간거리(end-to-end ... - 사슬 말단 간 거리의 계산: 평균 말단 간거리 = 거리의 제곱평균제곱근, o = nℓ2 ℓ : 상수, n : 고분자의 분자량[7]- 취보 모델 (Random flight model
    리포트 | 15페이지 | 5,000원 | 등록일 2021.09.14 | 수정일 2022.12.18
  • (전자회로실험) 광전소자 결과 보고서
    또한 A, B, C, D와 G의 음극을 접지하면 3을 얻는다. 7-세그먼트 배열은 각기 개개의 세그먼트를 도통하기 위하여 양극 전압을 사용하는 공통-음극 형태가 유용하다.광다이오드역방향으로 ... 실험목적1) 적색과 녹색 발광 다이오드(LED)들에 대한 자료를 얻는다.2) 7-세그먼트(seven-segment) 지시기로 숫자를 표시한다.3) 광결합기를 통하여 신호를 전달한다.2 ... 가장 일반적인 LED 배열은 그림 4-3(a)에서 보여준 7-세크먼트 표시기이다. 이 표시기는 7개의 구형 LED를 포함한다.
    리포트 | 5페이지 | 1,800원 | 등록일 2019.09.08 | 수정일 2021.08.03
  • 부경대 컴퓨터공학 컴퓨터네트워크 중간고사정리본
    사용TCP연결은 파일을 수신할 컴퓨터가 초기화Push 프로토콜서버 서버Ex) 송신메일서버가 파일수신 메일서버로 보낸다TCP 연결은 파일을 보내는 컴퓨터에서 초기화메시지 포맷제한없음7bit ... 손실이면 그 뒤 세그먼트는 보관, 현재까지 수신된 세그먼트 중 연속된 세그먼트로의 마지막만 누적확인 응답을 한다.: 비 연속적 수신된 세그먼트(중간 손실) 이면 중간 손실부터 다시 ... 방식Transport 계층의 multiplexing & Demultiplexing(다중화 역다중화): ‘호스트-대-호스트 전달’을 ‘프로세스-대-프로세스 전달’로 확장하는 것을 트렌스포트
    시험자료 | 20페이지 | 5,000원 | 등록일 2021.01.14 | 수정일 2023.06.22
  • (중소기업창업론) 창업아이디어 평가기법인 RWW 분석과 창업기업의 사업 수행방식을 분석할 수 있는 비즈니스 모델
    이러한 고객 세그먼트는 고객에 맞는 비즈니스 모델을 세우는데 꼭 필요한 요소이다.7) 채널채널은 고객과 기업이 커뮤니케이션을 하는 채널을 말한다. ... (customer segments), (3) 핵심 자원(key resources), (4) 비용구조(cost structure) 및 수익원(revenue streams)]-목차-Ⅰ서론Ⅱ본론1 ... 이러한 고객 관계는 고객을 대하는 여러 가지 방법들이 존재하고 이는 각 서비스의 특징에 따라서 중요한 부분이 다르게 설정될 수 있다.6) 고객 세그먼트고객 세그먼트란 우선 고객이나
    방송통신대 | 6페이지 | 2,000원 | 등록일 2022.06.24
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 과제
    세그먼트의 허용 전류를 넘지 않도록 하기 위해 저항을 연결해 준다.7-Segment LED가 Common Anode type일 때, 다음의 경우에 대해 LED가 어떻게 출력되는지 ... 조합논리 회로의 예 (7-segment/Decoder 회로 설계) 과제7-segment LED의 특성을 확인하였을 때 Common Cathode type이라면, 7-Segment LED의 ... 쓰시오-BI/RBO 핀이 Low 일 경우BI/RBO 핀이 Low 일 경우 어떠한 입력에 대해서도 모든 7개의 segment가 꺼져있다.
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.02
  • 쇼핑 앱 '지그재그' 비즈니스 모델 캔버스 분석
    핵심파트너십-입점 개인 스토어-입점 브랜드 스토어-택배사7. 핵심활동-고객 편리 서비스-이벤트 기획 제작-플랫폼 홍보-서비스 영역 확대-입점 브랜드 확대2. ... 고객관계-다양한 이벤트 및 쿠폰1. 고객 세그먼트-온라인 쇼핑이 익숙한 10-30대 여성6. 핵심자원-이용자수-입점 개인 스토어 수-입점 브랜드 스토어 수-리뷰 등의 콘텐츠3. ... 가치제안-여러 쇼핑몰을 한번에 비교 가능-쇼핑몰 순위 확인가능-필터 기능으로 원하는 분류의 상품만 볼 수 있음-여러 쇼핑몰을 한번에 결제할 수 있어 편리함 제공-지정된 폴더로 관심
    리포트 | 1페이지 | 1,500원 | 등록일 2022.06.02
  • 서울권역 e러닝/고객관계관리/2020년2학기/기말시험범위 정리
    다양한 원인변수를 설정하고, 설득력 있는 목표치를 추론할 수 있는 방법론 제공(7) CRM전략 운영 과정의 모니터링-과거: 마케팅이 완료된 이후 구체적인 데이터 분석 진행- 최근: ... 유통7. 다음 중 금융산업의 CRM전략이 갖는 주요 특징으로 올바른 것은? ... 고객은 반드시 한 개의 세그먼트 내에 속하는 것을 원칙으로 함(2) 세그먼트 적합성 평가- 고객세분화가 적절히 이루어졌는지 평가함- 특정 변수에 대한 세그먼트 간 차이해야 함 =>
    시험자료 | 61페이지 | 6,000원 | 등록일 2020.12.14
  • 신세계몰 SSG 마케팅 전략 분석
    입점브랜드가 취급하는 제품을 세그먼트에 따라 다르게 배치 다양한 세분시장을 동시 공략 내부에 각각의 브랜드 및 제품의 배치 를 통한 다양한 세그먼트 를 타게팅 차별적 마려에 따라 ... 사회계층 및 소득에 따른 세그먼트 작게는 연령 , 성별 , 라이프스타일 에 따른 세그먼트 S egmentation 174 T argeting STP 전략분석 매장 내 입점브랜드 혹은 ... 88,599 3,352 20,172 단위 : 백만원 12내부환경분석 3 3.2 비재무적 지표 상표 애호도 브랜드 이미지 평판 순위 : 랭키닷컴 종합쇼핑몰 분야 1 위 서울영상광고제그랑프리 7
    리포트 | 34페이지 | 2,500원 | 등록일 2021.07.20
  • 디지털 만보기
    42MHz-낮은 전력 손실-작동 온도 최대 70°C-표준 TTL 스위칭 전압7세그먼트(FND)- 고강도 적색 출력- 표준 크기는 다른 유형을 쉽게 대체합니다.- 경제적인 가격- 쉬운 ... TTL 스위칭 전압74LS08-4라인의 BCD 입력을 수락하고 이를 7개의 오픈 컬렉터 출력으로 변환-오픈 콜렉터 출력에는 풀업 저항이 필요하다-램프 테스트 입력-작동 온도 최대 ... 붙게되면 전류가 흐르지 않았던 부분에 접촉하게 되어 전류가 흐르게 됨전체적인설명spec기울기 센서를 이용하여 SW200소자가 한 번 동작할 때마다카운터에 1씩 카운트카운트 된 값을 세그먼트
    리포트 | 10페이지 | 3,000원 | 등록일 2023.11.07
  • OSI 7계층에 대하여
    전송 계층에서 데이터 단위는 세그먼트이다. 4 계층에서는 세션 계층에서 데이터를 가져 와서 계층 3으로 보내기 전에 세그먼트로 분할하는 것이 포함된다. ... 물리 계층 프로토콜로는 X.21, RS-232C, RS-449/422-A/423-A 등이 있으며, 네트워크 장비로는 허브, 리피터 등이 있다.데이터 계층 : 2 계층물리적 링크를 통해 ... 과목명 : 정보통신개론과제 주제 : OSI 7계층에 대하여 계층별 서술하시오.OSI 7계층에 대하여OSI (Open Systems Interconnection)이란?
    리포트 | 5페이지 | 2,500원 | 등록일 2020.10.18
  • 쉽게 배우는 AVR ATmega128 마이크로컨트롤러 5장연습문제
    FND는 7-Segment라고도 부르는데, 숫자나 문자를 표시하는데 사용되는 소자로서 7개의 세그먼트(a, b, c, d, e, f, g)와 도트 p로 구성된다. ... 각각의 세그먼트는 LED로 되어 있다.10. ... ~ PC7Port D8bitPD0 ~ PD7Port E8bitPE0 ~ PE7Port F8bitPF0 ~ PF7Port G5bitPG0 ~ PG402.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.06 | 수정일 2021.06.18
  • A+받은 다이오드 정류회로(반파정류,전파정류) 예비보고서 PSPICE
    7세그먼트 표시 소자의 동작을 이해한다.2. ... 인가 전압의 낮은 범위(0.7V 이하)에서 다이오드 내에 흐르는 전류는 아주 작으나, 0.7V 이상의 순방향 전압을 인가하면 다이오드 내에 많은 전류가 흐르게 된다. ... 즉, 0.7V 이상에서 인가 전압이 조금만 높아져도 전류의 증분이 매우 커지게 된다.이때의 전류의 증가분이 급격히 커지는 시점의 전압 0.7V를 순방향 전압 강하라고 하며  로
    리포트 | 9페이지 | 1,000원 | 등록일 2022.12.28
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:56 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대