• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,056)
  • 리포트(1,913)
  • 시험자료(95)
  • 방송통신대(21)
  • 자기소개서(16)
  • 논문(7)
  • 서식(3)
  • 이력서(1)

"7-세그먼트" 검색결과 21-40 / 2,056건

  • 7-세그먼트 / 디코더 회로 설계
    설계실습 11. 7-segment / Decoder 회로 설계1. 목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2. ... segment을 이용한 7-segment 구동 회로를 설계하라. ... 실험준비물직류전원장치 1대멀티미터 또는 오실로스코프 1대Bread board 1대저항 (330Ω) 8개Decoder(74LS47) 1개Hex Inverter (74LS04) 8개7-
    리포트 | 6페이지 | 1,500원 | 등록일 2009.11.30
  • 디지털실험 설계- BCD 7 세그먼트 설계보고서
    ◈ BCD-7 세그먼트 디코더-논리회로 설계결과-2조 2008065321권태영1. ... 실험 결과 사진숫자 0 결과숫자 1 결과숫자 2 결과숫자 3 결과숫자 4 결과숫자 5 결과숫자 6 결과숫자 7 결과0부터 9까지의 BCD-7 세그먼트 결과 사진들숫자 8 결과숫자 9 ... (출력 1~7 = a~g) 세그먼트 표시기를 살펴보면 7값이 나오게 된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2012.03.09
  • 7-세그먼트 LED 디코더 표시회로
    REPORT7세그먼트LED디코더와 표시회로결과보고서▣실험제목- 7-세그먼트 LED 디코더와 표시회로▣실험목적- 7-세그먼트 LED 표시소자 및 디코더를 이해하고 실험으로 이론을 입증한다 ... 코드값 DCBA=0111 일 때 출력 a~g 가운데 a,b,c가 0이 되도록 동작하는 논리회로가 7-세그먼트 LED 디코더이다.4) 7-세그먼트의 표시상태애노드 공통형 BCD-7 ... 숫자 키를 누르면 인코더에서 해당 코드가 발생하는데, 이 코드는 다시 표시소자 디코더를 거쳐 숫자 표시기를 구동한다.2) 7-세그먼트 LED 표시소자그림 14-2(a)는 7-세그먼터
    리포트 | 6페이지 | 1,000원 | 등록일 2009.07.01
  • 디지털공학(논리회로)-7세그먼트 설계
    분석7-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다. ... 여기서 막대 모양의 LED 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.2. ... 디지털공학 설계과제7-Segment Indicator과 목 명 :이 름 :학 번 :교 수 :제 출 일 :1.
    리포트 | 8페이지 | 3,000원 | 등록일 2009.12.06 | 수정일 2022.12.12
  • 전자회로실험및설계 : 7-segment (7세그먼트) 예비보고서
    7-segment 예비보고서1) 7-segment란?7-segment는 간단한 디스플레이의 일종으로 7개의 LED로 10진수 아라비아 숫자들을 표시할 수 있게 만든 소자이다. ... .7-segment(FND) FND2) 7-segment의 원리7-segment에는 8개의 LED가 내장되어 있으며 내장된 LED들을 각각 따로 ON/OFF 제어하여 숫자를 표시할 ... 애노드 단자들을 하나로 묶은 소자를 공통 애노드 7-segment라고 하고, 캐소드 단자들을 하나로 묶은 소자를 공통 캐소드 7-segment라고 한다.여러 개의 7-segment들을
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.28
  • Verilog HDL을 이용한 7-세그먼트디코더와 시프트 레지스터
    디지털회로개론실험 예비보고서HDL을 이용한 설계7-세그먼트 디코더시프트 레지스터0. ... 실험목적7-세그먼트와 시프트 레지스터를 HDL을 이용하여 설계해봄으로써, Verilog HDL을 사용한 회로 설계 방법과 Quartus Ⅱ의 사용법을 익힌다.1. ... 이론. 7-세그먼트 디코더0) VHDL로 표현library Ieee;use ieee.std_logic_1164.all;entity seg_decoder isport(cnt_in :
    리포트 | 3페이지 | 1,500원 | 등록일 2010.06.18
  • [디지털회로실험] (실험5) 멀티플렉서, 디멀티플렉서, 엔코더, 디코더 / (실험6) 7-세그먼트 디코더
    시뮬레이션 결과실험 5-(3) 74147을 이용하여 10개의 입력을 BCD코드로 변환하는 엔코더? 회로도? 시뮬레이션 결과실험 6-(1) 7-세그먼트 디코더 회로의 동작 확인? ... 실험 5-(1) 4 to 1 멀티플렉서 동작 확인? 회로도? 시뮬레이션 결과실험 5-(2) 74133 TTL(4 to 1 멀티플렉서) 동작 확인? 회로도?
    리포트 | 3페이지 | 1,000원 | 등록일 2014.10.21 | 수정일 2016.06.13
  • 전자회로실험및설계 : 8051 MCU를 이용한 7-segment (7세그먼트) 제어
    7-segment 결과보고서7-segment는 숫자 모양으로 LED를 배치시킨 소자이다. ... P0_5에 가장 왼쪽(첫 번째)에 위치한 7-segment의 핀을 연결하고, 가장 오른쪽의 7-segment의 핀은 P0_0에 연결하였다.7-segment에 숫자를 표시하려면 7-segment의 ... 이번 실험에 사용한 7-segment 보드는 MCU에 작성한 프로그램을 넣어 7-segment를 확인해보니 0을 출력해야 LED가 ON이 되는 애노드 공통 7-segment를 사용한
    리포트 | 9페이지 | 1,000원 | 등록일 2011.12.28
  • 기초전자회로실험 예비레포트 7세그먼트 7-Segment
    1000 8 1001 97-Segment7세그먼트 발광 다이오드란? ... 디코더를 이용하여 7-세그먼트에 디스플레이하는 회로 구성2. 실험 준비물? 전원공급기 - ED-330? Digital multimeter - DM411B? ... IC : 7447, LED, MAN-72A(7-Segment), 330, 1k3.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.09.18
  • 7-세그먼트 디코더 디지털회로실험 예비보고서
    디지털회로실험 사전보고서-Lesson 6 7-세그먼트 디코더1. 7-세그먼트 디코더 회로 동작 확인7-세그먼트 디코더 datasheet- 7-세그먼트는 0부터 9까지의 숫자 또는 간단한 ... 여기서 막대 모양의 LED 각각을 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다. 7-세그먼트의 구성을 살펴보면 아래그림과 같이 ... 이때 7-세그먼트에서 출력되는 결과가 만들어주는 모양이 숫자 0의 모양과 같다.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.05.23
  • led와 7-세그먼트(결과) 인코더/디코더 코드 변화기, 멀티플렉서와 디멀티프렉서 (예비)
    제 출 일 : 2014. 4. 8● 실험 6 LED와 7-세그먼트(LED & 7-Segment)- 실험의 주요 주제1. 7-세그먼트 LED의 특성을 실험을 통해 확인한다.2. 2진화 ... 각각의 세그먼트는 전류가 흐를 때 빛을 방출하는 소자로 구성되어 있는데, LED가 가장 일반적으로 사용되는 소자이다.- 7-세그먼트 -3) BCD 7-세그먼트 디코더/드라이버BCD( ... 7-세그먼트의 밝기 를 비교하여라.(4) 전형적인 7-세그먼트 LED 디스플레이의 각 세그먼트는I _{D}=20[mA] &V _{D}=2.8[V]에서 정 상 밝기로 동작하도록 되어
    리포트 | 12페이지 | 1,000원 | 등록일 2014.04.20
  • 7-세그먼트(FND)에 0~9 까지와 알파벳을 표시하는 방식
    1) 다음은 7-세그먼트(FND)에 0~9 까지와 알파벳을 표시하는 방식이다.이중에서 대문자 C[0x43]에서 H[0x48]까지의 ASCII값이 들어오면 7-세그먼트에 표시하는 디코더를 ... => a'b'c'+bcC.L=SIGMA (0,1,3,6,7) => a'b'+bc+abD.L=SIGMA (1,2,3,4,6) => a'c+bc'+ac'E.L=SIGMA (0,1,2,3,4,5,6,7 ... ) => 1F.L=SIGMA (0,1,4,5,6,7) => b'+aG.L=SIGMA (0,1,2,3,4,5,7) => a'+ab'+bcbca*************1bca0001111001111bca000111100111111bca000111100111111bca000111100111111111bca0001111001111111
    리포트 | 2페이지 | 1,000원 | 등록일 2009.12.25
  • 마프응용실험-7세그먼트결과+예비레포트
    여기서 막대 모양의 LED 하나 하나를 세그먼트 (segment)라고 하며 LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.2. 7segment 의 종류7세그먼트 표시기는 ... 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫 자를 표시하기 위해 만들어진 소자로 7세그먼트 표시기는 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 ... 구동 시 8 + 6= 12개 필요.)4. 8051 점등폰트 형성: 7세그먼트 구동에 있어서 스캔 개념과 더불어 7세그먼트 폰트 제작은 매우 중요한데 이에 대한 이해가 필요하다.표시
    리포트 | 8페이지 | 1,000원 | 등록일 2009.12.19 | 수정일 2016.08.27
  • 디지털회로 - 7-세그먼트 제어기 결과
    5. 7-세그먼트 제어기제출일실험조조 원-결과 보고서-1.실험내용 및 분석이번 실험의 첫 번째 내용은 D flip flop, Decoder, Segment를 이용해 0~7까지 카운트하는 ... 이번 실험은 7-세그먼트의 동작을 확인하고, 카운터 또한 0~7까지 카운터하므로 7447의 23(8)입력은 그라운드에 연결하여 0의 입력을 주었다. 7447의 입출력을 나타낸 표는 ... 위 결과는 아래와 같이 세그먼트에 표시되어 카운터에 의해 순서대로 0~7까지 카운터된다.0 1 2 3 4 5 6 7? UP COUNTER ?
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.08
  • 디지털회로 - 7-세그먼트 제어기 사전
    5. 7-세그먼트 제어기제출일실험조이름-사전 보고서-? ... 실험방법 및 Simulation1. 7-세그먼트 디코더 회로 동작 확인? 위의 회로도가 7-세그먼트 디코더 회로이다. ... 7-세그먼트 디코더 진리표7-세그먼트 디코더는 BCD로 입력되는 신호를 사람이 시각적으로 확인할 수 있는 10진수의 숫자로 표시하기 위해 신호를 변환하고 진리표는 아래와 같다. 7-
    리포트 | 4페이지 | 1,000원 | 등록일 2008.04.08
  • 시뮬레이션pspice (NOR AMD 게이트, 7-세그먼트,4비트 병렬가산기, 두자리 BCD 가산기, 2진 하진 DA 변환기, ADC0804를 이용한 AD 변환), Timer 소자 회로 실험, 두자리 BCD 가산기
    전압을 주면 빨간불이 들어왔으며 V의 값에 따라 7-세그먼트의 숫자 값이 달라졌습니다. ... 진행 상황 : 먼저 3+2를 74283, 7408(IC7), 7432(IC8), 7447 소자를 사용하여 7-세그먼트로 구현하려 했지만 문제점을 찾지 못하였습니다. ... 0①②③① 35 + 28 = 63이 나오는지 확인하라② IC들과 회로들이 어떻게 동작하는지 설명하라35 + 28이지만 3과 2를 더하고 5와 8을 더해서 각각의 자리 수를 7446A (7세그먼트
    리포트 | 9페이지 | 2,000원 | 등록일 2019.06.23
  • 디지털회로 [ 7-세그먼트디코더, 쉬프트 레지스터,업-다운카운터, 각종 카운터 _ 사전 ]
    이론1. 7-세그먼트 디코더? ... 8-9. 7-세그먼트디코더, 쉬프트 레지스터업-다운카운터, 각종 카운터제출일실험조이름-사전 보고서-? ... 7-세그먼트 Verilog HDL 소스 코드-아래는 7-세그먼트 진리표를 Verilog HDL로 표현한 것이다.module Seven_seg (Bcd_in, seg_out);input
    리포트 | 4페이지 | 1,000원 | 등록일 2008.04.09
  • verilog program BCDcounter(00~ 99 카운터) 7-segments(7세그먼트) k-map/회로도/ verilog 소스포함
    소스 module seg(out7,out6,out5,out4,out3,out2,out1,a,b,c,d); // 7 segment 부분입니다. ... 1.문제정의Veliog를 이용하여, 0~99까지의 숫자를 7-Segment 나타내는 Code를 구현한다. ● 설계과정 ●1) 7segment를 만들기 위한 각각의 부울식을 진리표와 ... K-맵을 이용하여 구한다.2) 부울식을 사용하여, 7segment를 만든다.2) D플립플롭을 이용하여 JK플립플롭을 만든다.
    리포트 | 10페이지 | 2,000원 | 등록일 2008.07.25 | 수정일 2022.01.13
  • [논리회로] BCD 7 - 세그먼트 디코더
    {BCD 7 - 세그먼트 디코더. 목 적. 7-세그먼트의 구조와 동작특성을 익히고, 7-세그먼트 디코더를 PLD를 이용한 회로 구현과 시뮬레이션을 통한 회로의 검증을 수행한다.. ... {그림 16.1 7-세그먼트의 디스플레이와 10진 디지트 표현표 16.1 7-세그먼트 디스플레이의 진리표{afbgecd{0{1{2{3{4{5{6{7{8{9{10진 디지트BCDABCD출력 ... LED를 이용한 세그먼트인 경우 7-세그먼트는 한 숫자를 나타내기 위해서 최고로 많이 가동하는 LED의 개수가 7개라는 것이다.
    리포트 | 2페이지 | 5,000원 | 등록일 2002.11.07 | 수정일 2017.02.21
  • 디지털 회로 실험 / 인터비젼 / 예윤해, 정연모, 송문빈 / 6장(7-세그먼트디코더) 예비보고서
    이론1) 7-세그먼트7-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 아래 그림과 같은 모양을 가지고 있습니다. ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌습니다.( 16진수의 0~15까지 표현된 7-세그먼트의 ... 목적1) 7-세그먼트의 구조 및 동작 개념을 이해한다.2) 7-세그먼트 구동 디코더의 구조 및 사용 방법을 확인한다.3) 응용 회로를 구성하고 동작을 확인한다.4) 전원 공급기, 오실로슼코프
    리포트 | 9페이지 | 1,000원 | 등록일 2009.05.07
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:29 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대