• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,056)
  • 리포트(1,913)
  • 시험자료(95)
  • 방송통신대(21)
  • 자기소개서(16)
  • 논문(7)
  • 서식(3)
  • 이력서(1)

"7-세그먼트" 검색결과 81-100 / 2,056건

  • 광전 소자의 특성 실험 결과레포트
    7 세그먼트 표시기가 그 중에서 가장 널리 쓰인다. 7 세그먼트 표시기에는 시계 방향으로 a,b,c,d,e,f,g가 표시되며 따라서 7세그먼트에는 7개의 LED가 탑재 된다. ... 종류에 따라 소수점을 표시하기도 하는데 이는 8개의 LED가 탑재되게 된다.예를 들어서 숫자 0에서 9까지 표시하는 TIL312 7-세그먼트 표시기의 경우 모든 세그먼트가 ON상태가 ... 단자, 혹은 케이스의 평평한 면과 가까운 정도에 의해서 다른 단자와 구분되어진다.3-3 일반적인 LED의 순방향 전압 강하는 ( 약 2.0 ) V이다.3-4 7세그먼트 표시기의 LED
    리포트 | 9페이지 | 1,500원 | 등록일 2021.09.07 | 수정일 2021.12.01
  • 롯데 코리아세븐_편의점 영업관리_최종 합격 자기소개서
    현지 공급업체와의 파트너십을 탐색하고 독점 제품을 소개하여 7-Eleven 매장을 경쟁업체와 차별화하십시오.디. ... 지역 조직과 파트너십을 구축하고 7-Eleven 매장을 지역 사회의 신뢰할 수 있고 가치 있는 구성원으로 구축하십시오. ... 제품 다양화: 다양한 고객 세그먼트에 맞는 다양한 고품질의 고유한 제품을 포함하도록 제품 범위를 확장합니다.
    자기소개서 | 2페이지 | 5,000원 | 등록일 2023.06.28
  • JK플립플롭을 이용한 학번 카운터기
    J-K 플립플롭을 통해 학번 카운터기를 설계한 후 이를 7447IC를 이용하여 7-세그먼트로 출력한다.J-K 플립플롭은 S-R 플립플롭에서 S=1, R=1인우 출력이 불안정한 상태가 ... J=1, K=1인 경우 이전 출력의 보수 상태로 변화한다.위 데이터 시트를 이용하여 7447 IC와 7-세그먼트를 설계한다.이를 이용하여 학번(201945831)을 출력하는 카운터를 ... 플립플롭으로 학번 카운터를 만드는 과정이 생각보다 많은 과정들이 필요하였다. 0→1 과 3→1을 구분하기 위해 J-K 플립플롭을 하나 더 사용하여 이에 따라 7세그먼트용 4개를 더해
    리포트 | 9페이지 | 1,500원 | 등록일 2022.12.04
  • 전기및디지털회로실험 실험 M3 결과보고서
    입력핀 설정int A=2;int B=3;int C=4;int D=5;int E=6;int F=7;int G=8; // 7세그먼트와 아두이노간 연결되는 핀 설정int H=9; int ... 결과보고서- 실험 결과(1) 실험에서 작성한 각 프로그램의 작성, 실행 및 디버깅 과정을 순서대로 자세히 기술한다.- 숫자표시기 + 아날로그 회로예비보고서 단계에서 작성했던 스케치와 ... 실험실에서 사용하는 세그먼트와의 결선이 맞지 않아 스케치를 수정하고 재 컴파일한 후 실험을 진행했다.int pin_r = A0; // 가변저항 조절에 따른 전압입력을 받을 아날로그
    리포트 | 7페이지 | 1,000원 | 등록일 2024.03.12
  • 전기전자공학부 캡스톤디자인 atmega128 7세그먼트를이용한 주차보조시스템 ( 모든 과정포함 ex . 코딩 제품사진 완성사진 작동사진 한글파일양식 ppt ) 그대로 사용하시면 됩니다 완벽한파일입니다. 화이팅하십쇼
    외부메모리를 둘 경우에는 주소버스(A7-A0)와 데이터버스(D7-D0)로 사용.8비트의 양방향 I/O 포트로 사용 가능외부에 메모리를 인터페이스하지 않을 때에는 내부 풀업 저항(20 ... 1.1 작품의 개요저희가 제작하려는 작품은 주차장에서 활용할 수 있는 초음파 센서,LED, lcd 및 7세그먼트를 활용한 주차도우미입니다. ... 통해 평상시에는 0, 감지되면 1로 운전자에게 알려주는 기능입니다.세 번째는 두 조건(lcd측정값이 일정값 이하일 때, 7세그먼트가 1일 때)을 만족하면 led에 불이 켜지는 기능입니다.이러한
    리포트 | 41페이지 | 5,000원 | 등록일 2022.03.09
  • 디지털 회로 실험 및 설계 - 74LS47 Driver를 이용한 7-Segment 실험 2
    실험 2의 실험방법과 실험 3의 실험방법에 대하여 비교하여 설명하시오.- 실험 2는 7-세그먼트에 직접 점퍼선을 연결하여, 7-세그먼트의 각 입력단에 해당하는 부분의 점퍼선을 연결했다가 ... 뺐다가를 반복하여, 7- 세그먼트의 불빛으로 숫자의 형태를 만든 반면에,- 실험 3은 74LS47 소자를 이용하여, 전압이 인가되면 1, 인가되지 않으면 0으로 2진수 0000부터 ... 디지털회로실험및설계 결과 보고서 #5( 74LS47 Driver를 이용한 7-Segment 구동 실험 )과 목담당교수제 출 일학 번이 름?
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 컴퓨터네트워크 ) 컴퓨터네트워크( TCP의 흐름제어와 혼잡제어, 라우팅 프로토콜과 패킷 포워딩,MPLS (Multi Protocol Label Switching)
    데이터통신은 바로 이루어지는 것이 아니라 7개의 계층을 거쳐서 최종적으로 통신이 완료되는 형태를 갖게 되는데 이 7개의 계층은 상호 연동이 가능하며 각각 계층 간 독립성을 갖고 있기에 ... 만약 Time-Out이 발생한 경우에는 세그먼트를 재전송 후 다시 Slow Start부터 시작하는 방식으로 TCP의 혼잡제어가 이루어진다.2. ... 간주해 세그먼트 재전송을 하며 RTO는 송신측에서 세그먼트를 보내고 일정시간 이내에 ACK가 도달하지 않으면 재전송을 하는 것이고 수신측에서 체크섬일 시 세그먼트를 검증해 오류 시
    리포트 | 5페이지 | 5,000원 | 등록일 2022.02.16
  • 메카트로닉스 순차논리회로 -99카운터 실습 레포트
    .7 세그먼트를 2개를 통해 0부터 99까지의 숫자를 표시하는 실험을 진행하고 이를 작동시키는 방법을 알아본다.- 순차논리회로란? ... 세그먼트까지 연결을 완료합니다.카운트 버튼인 풀업 스위치를 누르며 0부터 99까지 숫자를 확인하면 실험이 완료됩니다.3.실험 결과 고찰이번 실험에서도 7세그먼트를 마찬가지로 활용하였지만 ... 부품7 세그먼트 장치74LS47 IC74LS9074LS47 IC : 핀으로 입력받은 BCD 값에 따라 a~g 핀 중 특정 핀에만 전류를 흐르게 하여 해당하는 segment에만 불을
    리포트 | 4페이지 | 2,000원 | 등록일 2021.04.30
  • 국제경영학 - 현대기아자동차그룹이 글로벌 자동차 판매대수 3위를 달성한 것의 배경과 원동력에 대해 설명하
    이는 전년 대비 약 7% 증가한 수치로, SUV 시장에서의 현대차의 선두적인 위치를 보여줍니다. ... 대 판매량 기록약 7% 증가친환경차전 세계적으로 32만 대 이상 판매량 기록약 20% 이상 성장2. ... 특히, SUV 및 친환경차 세그먼트에 대한 집중적인 전략이 이루어졌습니다.
    리포트 | 4페이지 | 2,000원 | 등록일 2024.06.24
  • 디지털회로실험 텀프로젝트 3비트 가산기를 이용한 7세그먼트 디스플레이
    마찬가지로 다른 세그먼트에 대한 논리함수를 쓸 수 있다.74LS47(7-세그먼트 디코더)7-세그먼트 LED2. 가산기 입출력 설계2.1. ... BCD/10진수 디코더BCD/7-세그먼트 디코더 드라이버는 입력받은 BCD 코드로 7-세그먼트 디스플레이 소자의 적정 요소를 on 시켜, 0에서 9까지의 숫자를 표시하는 장치이다. ... 아래 그림에서 7-세그먼트 디스플레이 소자 및 해당 소자에서 0부터 9까지의 숫자가 어떻게 표시되는지 나타내었다.7세그먼트의 각 요소를 a, b, ..., g로 표기하면, 각 숫자
    리포트 | 9페이지 | 10,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • SK텔레콤 시장조사직 합격자소서
    문화적 요인'이라는 새로운 연관성 발견사람마다 7점 척도에서 다른 유형을 보이는 '문화적 요인'이라는 뜻밖의 유의미한 영향을 도출하였습니다. ... 따라서 매우 짧은 시간 안에 데이터 분석으로 고객 세그먼트를 해야 하는 어려운 상황이었습니다. ... [신뢰성 있고 창의적인 고객 세그먼트 실천]고객의 구매 패턴을 분석한 결과 크게 "엔터테이너족, 혼족, 푸드스팩트, 카트허즈밴드"로 고객을 나눌 수 있었습니다.
    자기소개서 | 6페이지 | 3,000원 | 등록일 2023.11.20
  • 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용]
    실험 개요7-세그먼트 표시기(7-segment display)라 불리는 숫자표시기의 구성원리를 이해하고 이를 구동하는 방법을 실습한다. ... 이론 조사3-1. 7-세그먼트 표시기(7-segment display): 숫자표시기라고 하며 일곱 개의 발광다이오드(LED: Light-Emitting Diode)로 이루어진 일곱 ... 참고문헌- 전기공학실험 서적: 이론 및 그림 참고- https://www.youtube.com/watch?v=oIQw_bOCLNk:7세그먼트 디코더 구동방식, 종류 참고
    리포트 | 9페이지 | 1,500원 | 등록일 2024.01.02
  • 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용]
    실험 개요7-세그먼트 표시기(7-segment display)라 불리는 숫자표시기의 구성원리를 이해하고 이를 구동하는 방법을 실습한다. ... ~1111해당 기호해당 기호XDCBA - 0111: 7DCBA - 0011: 3DCBA - 0000: 0DCBA - 1111: X(해당표시)DCBA - 1101: 해당기호이진코드에 ... 여기서 구성된 회로의 입력에 0000~1111을 인가하여 숫자표시기에 나타나는 표시를 확인한 것.BCD코드 입력(DCBA)7 세그먼트 LED 표시예상측정오차000000X000111X001022X001133X010044X010155X011066X011177X100088X100199X1010
    리포트 | 6페이지 | 1,500원 | 등록일 2024.01.02
  • 충북대학교 전자공학부 전자회로실험II 결과보고서 PWM을 이용한 DC 모터 속도 제어
    비고 및 고찰이번 실험은 DC 모터 속도 제어를 하고 Counting해서 7-세그먼트로 확인하는 실험이었다. ... DC 모터의 경우 아주 잘 돌아갔지만 7-세그먼트에 그 값이 안 나왔는데 슈미트-트리거 회로 칩이 고장난 것이어서 잘 돌아가는 조의 칩으로 바꾸니 성공적으로 회로가 잘 돌아갔다.속도가 ... 간단한 DC 모터 속도 제어를 555타이머를 이용한 PWM 변조 방식을 통해서 최종적으로는 포토-인터럽트를 통해 측정하고 7-세그먼트로 확인하는 실험이었다.가변 저항을 변화 시키면서
    리포트 | 3페이지 | 2,000원 | 등록일 2020.09.30
  • 비즈니스모델-카카오, 네이버 사례
    이 핵심자원은 물리적인 것일 수도 있고, 재무적인 것일 수도 있고, 지적인 영역이나 인적자원의 영역에 속할 수도 있다.(7) 핵심활동기업이 비즈니스를 제대로 영위해나가기 위해 꼭 해야 ... 가지로 구분할 수 있는데 바로, 1) 비경쟁자들 간의 전략적 동맹, 2) 코피티션, 즉 경쟁자들 간의 전략적 파트너십, 3) 조인트벤처, 4) 안정적 공급을 확보하기 위한 ’구매자-공급자 ... 핵심활동은 다음 세 가지 정도로 분류할 수 있는데 그것은 바로 생산, 문제해결, 플랫폼/네트워크 이다.(8) 핵심파트너십핵심파트너십은 비즈니스모델을 원활히 작동시켜줄 수 있는 ’공급자-파트너
    리포트 | 7페이지 | 4,000원 | 등록일 2022.11.08
  • 디지털 회로 실험 및 설계 - Encoder, Decoder 실험 2
    이어서 3, 2, 1도 3부터 우선순위로, 그 밑의 수들의 전압 레벨에 상관없이, 그 윗수의 전압 레벨은 0일 때 그 수의 전압 레벨이 1이면, 7세그먼트에는 그 수가 표시된다.? ... 가지는 Encoder로서, 입력 1, 2, 3, 4가 있다고 가정하고, 4부터 우선순위가 높다고 가정할 때, 나머지의 전압 레벨 (0 또는 1)에 상관없이, 4의 전압 레벨이 1이면 7세그먼트에는 ... 실험 결과 분석- 11일 때는 초기상태로, 일직선이 나타나고, 10, 01, 00 순으로 1분주, 2분주, 4분주의 결과가 나왔다.?
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 수체계 결과보고서
    .●2진수 또는 2진화 10진(BCD) 수의 10진수로의 변환●BCD 숫자를 디코드 하여 7-세그먼트 디스플레이 상에 표시하는 시스템 구성●가상적인 결함에 대한 회로의 고장진단2.실험회로도3 ... 7447A의 14번핀의 개방 (7세그먼트 G)→7447디코더의 출력G(14번핀)가 개방이 되게 될 경우 7세그먼트 디스플레이의 G입력은 HIGH입력으로 오동작 된다.? ... 결과값 + 실험사진입 력출 력2진수BCD 수7-세그먼트디스플레이00000*************100010001100110100010001010101011001100111011110001000100110011010무효1011무효1100무효1101무효1110무효1111무효
    리포트 | 6페이지 | 2,000원 | 등록일 2020.05.19
  • (중소기업창업론) 창업아이디어 평가기법인 RWW 분석과 창업기업의 사업 수행방식을 분석할 수 있는
    .- 목 차 -Ⅰ. 서론Ⅱ. 본론1. RWW기법이란?2. 비즈니스 모델 캔버스 및 구성요소3. ... RWW기법이란 Dominic Schrello가 개발한 창업 아이디어 평가방법으로 현실-승리-가치법의 구성요소를 지닌다.우선 현실에 해당되는 REAL은 기회가 현실적인지, 소비자가 그것을 ... 다가가야 하는지, 어떤 기준의 통합으로 채널이 구서오대 있는지, 어떤 채널이 가장 효과적이고 가장 비용 효율적인지, 채널 및 고객을 위한 업무가 제대로 통합돼 있는지에 대한 질문이다.(7)
    방송통신대 | 7페이지 | 2,000원 | 등록일 2022.07.18
  • 의용디지털시스템 IC 병렬 7447 7-segment 작동원리, 사용법
    (BI, RBO, RBI 사용법)7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... Q : 7447 7-segment Driver의 사용법에 대해 조사하시오. ... 비슷한 역할을 하는 점 행렬에 비해 단순하기 때문에 전자 회로의 내부적인 수치를 보여주는데 자주 사용된다.7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에
    리포트 | 4페이지 | 2,000원 | 등록일 2020.11.23 | 수정일 2020.12.07
  • 수 정렬회로 설계 결과보고서
    FND에 작은 수를 min 7-세그먼트 FND에 출력한다. parameter는 상수를 심볼로 나타냄으로서 module을 객체화 할 때 원하는 대로 바꿀 수 있게 한다. ... subtype my_logic is std_logic range ‘0’ to ‘z’ ;답 : 0, 1, z고찰정렬회로는 두 수를 입력받아 크기를 비교한 후 큰 수를 max 7-세그먼트 ... 디지털시스템 설계 실습 6주차 결과보고서학과전자공학과학년3학번성명※수 정렬회로 설계- 슬라이드 스위치에 두 개의 입력(a,b)- switch(ena)가 0일 경우7-seg에 두 입력
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:25 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대