• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,056)
  • 리포트(1,913)
  • 시험자료(95)
  • 방송통신대(21)
  • 자기소개서(16)
  • 논문(7)
  • 서식(3)
  • 이력서(1)

"7-세그먼트" 검색결과 101-120 / 2,056건

  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    디지털 시계는 7 세그먼트 디스플레이에 세그먼트들의 조합을 이용하여 시간을 표현한다. ... segment7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 개의 가로 획과 두 개의 세로 획이 배치되어 있고, 위쪽 사각형의 아래 획과 ... (그림참조) 7개의 획은 각각 꺼지거나 켜질 수 있으며 이를 통해 아라비아 숫자를 표시할 수 있다. 7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 실습 10. 7-segment_Decoder 회로 설계
    : 보고서 제출날짜:)요약: 7-segment/Decoder 회로 설계를 통해 7-segment와 Decoder의 동작원리를 이해할 수 있었다. ... 아날로그 및 디지털 회로설계 실습실습 10. 7-segment/Decoder 회로 설계소속담당교수수업 시간조번호조원실습 10. 7-segment/Decoder 회로 설계(조:, 실험날짜 ... 반면, b, c를 제외한 출력 노드에는 High 값이 인가되므로 세그먼트의 다이오드에는 역방향 전압이 걸리므로 출력 노드에는 전압 강하가 일어나지 않는 모습을 볼 수 있다.3.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.17
  • 전자회로실습 4 결과 - DC 모터 속도 제어 및 측정
    있는 파형이 주기적으로 나오는 것을 확인 하였고, 7-세그먼트를 연결하여 불이 들어오는 것도 확인할 수 있었습니다.(2) 회전 원판을 부착한 포토 인터럽트를 연결하여 카운터 및 7 ... 37-39 정도의 숫자가 7-segment에 나왔고, 약 5V의 전압을 주어 모터의 속도가 빠를 때는 77-79 정도의 숫자가 나타났습니다. ... 세그먼트의 동작을 확인한다.=> 회전 원판을 직접 제작해 끼운 모터를 연결하고, 포토 인터럽트에 대고 원판을 돌려보니 원판의 속도에 따라 7 세그먼트의 숫자(속도)가 바뀌는 것을 볼
    리포트 | 3페이지 | 2,000원 | 등록일 2020.10.02
  • (A+)전기전자기초실험 발표-가 시한폭탄의 제작 및 기능 구현
    실험 원리 7- 세그먼트 표시기 , FND (Flexible Numeric Display), 세그먼트 LED - 0 – 9 의 숫자를 표시 ( 소수점 포함 / 미포함 ) -7 세그먼트 ... 실험 원리 - 카운터 (counter )- - 래치 (latch), 플립플롭 (flip-flop)- 래치 - 입력이 변화해도 출력의 상태를 유지 ( 메모리 기능 ) 플립플롭 - 래치에 ... 실험 원리 - 74ls192 핀 , 진리표 -2.
    리포트 | 9페이지 | 3,500원 | 등록일 2020.11.15 | 수정일 2020.12.05
  • 부산대학교 전기전자기초실험 term project
    실험 원리 7- 세그먼트 표시기 , FND (Flexible Numeric Display), 세그먼트 LED - 0 – 9 의 숫자를 표시 ( 소수점 포함 / 미포함 ) -7 세그먼트 ... 실험 원리 - 카운터 (counter)- - 래치 (latch), 플립플롭 (flip-flop)- 래치 - 입력이 변화해도 출력의 상태를 유지 ( 메모리 기능 ) 플립플롭 - 래치에 ... 실험 원리 -74ls192 핀 , 진리표 -2.
    리포트 | 9페이지 | 3,000원 | 등록일 2020.11.23 | 수정일 2020.11.26
  • 한기대 컴퓨터네트워크 기말자료
    구조가 정의되지 않은 미디어 스트림은 대역내로 여겨진다.7.3 최선형 서비스를 최대로 활용하기7.3.2 수신자의 오디오 지터 제거- 고정 재생 지연 [7-36]수신자는 단위 데이터 ... 제거 [7-20]7.2.3 RTSP (Real-Time Streaming Protocol)- HTTP : 멀티미디어 콘텐츠를 대상으로 하지 않음. ... 생성하기 위해서 각 데이터에 헤더 정보(나중에 역다중화에 사용됨)로 캡슐화하고, 그 세그먼트들을 네트워크 계층으로 전달하는 작업역다중화 : 트랜스포트 계층 세그먼트의 데이터를 올바른
    리포트 | 4페이지 | 1,000원 | 등록일 2020.08.18
  • 어셈블리언어(시스템프로그래밍) 과제-1 (간단한 어셈블리 언어 프로그램 작성)
    세그먼트에서 정의하고 실행파일을 만든 후에 실행파일의 크기를 확인하시오. - 코드- 코딩 후 어셈블 결과=> arrayTest2.exe의 크기는 7,168바이트이다.(3) 두 실행파일의 ... array를 .data 세그먼트 대신에 .data? ... 그리고 1번 실행파일의크기와도 비교하시오.=> arrayTest.exe의 파일크기는 12,288바이트이고 arrayTest2.exe의 파일크기는 7,168바이트이다.
    리포트 | 10페이지 | 3,000원 | 등록일 2021.11.24 | 수정일 2023.07.10
  • 예비보고서(5) 부호기복호기
    그림 3 2-to-4 line 복호기, 4-to-2 line 부호기를 연결한 회로(3) 십진 수치의 표시7-세그먼트 LED 표시기는 7개의 LED로 구성되어 있다. ... 이표를 사용해서 7-세그먼트 각각에 대한 K-맵을 그리고, 이것으로부터 최소 논리 방정식을 유도한다. ... 공통 음극 구성에서 입력은 actie-high이다.BCD 포맷으로 부호화 된 수치를 표시하기 위해서, 그 BCD 코드들은 7-세그먼트에서 필요로 하는 논리 값들로 변환하는 디코더를
    리포트 | 6페이지 | 2,000원 | 등록일 2020.10.14
  • Focusing the Corporate Product Securities Analysts and De-Diversification
    가설 7a : t-1 에 한 부서가 다른부서에 연관된 정도가 높을수록 t 시기에 처분될 위험은 낮아질 것이다 . ... 가설 7b : t-1 에 한 부서가 다른부서에 연관된 시기가 길수록 이 부서가 t 시기에 처분될 위험은 낮아질 것이다 .Method Part 3 , sample and data sources ... S P 세그먼트에 있는 회사와 CRSP 데이터베이서에 있는 미국 회사 (1984-1994) 시기 선정 사유 : 1) 탈다각화가 일어나던 시기 ( 세금 , 레이건 대통령의들은 포괄적인
    리포트 | 16페이지 | 3,000원 | 등록일 2021.02.18
  • (A+자료) 디지털실험 텀프로젝트 PvP 두더지잡기 게임 구현 작품
    주로 7-Segment와 함께 사용한다.이 작품또한 7-Segment와 함께 사용해 두 자리 점수를 카운팅 해주는 용도로 사용된다.채터링 현상스위치가 열려 있는 상태에서 닫거나 닫혀있는 ... 각 선별로 하나의 핀이 해당되므로 Vcc를 포함한 8개의 핀으로 숫자를 표시할 수 있다.7-segment 진리표7-segment 타입NE555 Timer555 타이머의 기능1) Monostable ... 몇몇 숫자는 둘 이상의 다른 방법을오 표시가 가능하다. 7세그먼트 표시 장치의 각 획은 맨 위쪽 가로 획부터 시계 방향으로, 그리고 마지막 가운데 가로 획까지 각각 A부터 G까지의
    리포트 | 20페이지 | 5,000원 | 등록일 2023.01.09 | 수정일 2023.03.07
  • 설계 보고서 - 자동판매기 회로
    SN7410(디코더, 플립플롭, 7 세그먼트 표시기등) ... care 동전의 투입을 표시하기 위한 7세그먼트 디코더의 진리표 7세그먼트 표시기100자리10자리1자리a = d = e = f = g = 0b = c = S{} _{1}S{} _{ ... 동전의 투입을 사용자가 알 수 있도록 7 세그먼트를 이용하여 금액이 보여 질 수 있도록 한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2020.10.01
  • 광전소자 결과 레포트
    가장 일반적인 LED배열은 7-세그먼트 표시기이다. 이 표시기는 7갸의 구형 LED를 포함한다. 각각의 LED는 표시될 문자의 한 부분을 형성하기 때문에 세그먼트라고 부른다. ... 광전소자실험목적- 적색과 녹색 발광 다이오드들에 대한 자료를 얻는다.- 7- 세그먼트 지시기로 숫자를 표시한다.- 광결합기를 통하여 신호를 전달한다.이론발광 다이오드는 고체상태의 광원이다 ... LED 자료I, mAV102.22.1202.42.3302.72.4402.92.6표 4-2. 7-세그먼트 표시기표시 값접지시켜야 할 핀들01, 2, 7, 8, 10, 13110, 1321
    리포트 | 4페이지 | 1,000원 | 등록일 2019.10.10
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 예비보고서
    또한 출력 EO는 I0, I1, I2, I를 만들 수 있다.BCD-to-7 세그먼트 디코더BCD-to-7 세그먼트 디코더는 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 ... BCD 코드와 변환 예BCD-to-7세그먼트 디코더 기능을 수행하는 TTL7446, 7447 칩은 애노드 공통형 7세그먼트 표시기를 위한 디코더이며, 7448, 7449는 캐소드 공통형 ... 7세그먼트 표시기를 위한 디코더이다.BCD-to-7세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 붙여졌지만, 실제로는 4비트 십진수를
    리포트 | 12페이지 | 1,500원 | 등록일 2021.04.22
  • 논리회로실험) 7 segment 프로젝트 1 예비
    이는 A형, K형이라고도 불린다.- 7 세그먼트의 7개의 영역을 이용해서 숫자를 조합한 모습이다.(4) BCD(binary-coded decimal)- 이진화 십진법(Binary-coded ... 등의 간단한 연산을 할 수 있는 명령을 갖추고 있다.(5) BCD to 7 segment Decoder-BCD-to-7세그먼트 디코더는 4비트로 구성된 BCD 값을 입력으로 받아들여 ... 형태의 NTSC 방식의 신호로 변환하여 주는 장치로 사용된다.(3) 7 segment- 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자이다.-
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • [창조경제와청년창업]중간고사 정리
    고객 세그먼트 2. 가치제안 3. 채널 4. 고객관계 5. 수익원 6. 핵심자원 7. 핵심활동 8. 핵심파트너십 9. 비용 구조1. ... 모바일 커머스 시장이 급속도로 커지고 있다 1조7천억(12년) > 3조9천억(13년) > 7조6천억(14년)? ... 고객 세그먼트고객 세그먼트는 기업이 제각기 얼마나 상이한 유형의 사람들 혹은 조직을 겨냥하는지를 규정한다.고객 세그먼트의 유형매스마켓 : 고객 세그먼트를 구분할 필요가 있음틈새시장
    리포트 | 18페이지 | 5,000원 | 등록일 2020.02.03
  • 생능출판 컴퓨터네트워크 개정3판 6장 연습문제 해답
    NAT-> NAT은 외부 네트워크에 알려지는 공인 IP 주소와는 다른, 사설 IP 주소를 사용하는 내 부 네트워크에서 IP주소를 변환하여 주는 것을 말한다.7. ... .^3)^4)(^5)(^6)^7^8^1.^2.^3)^4)(^5)(^6)^7^8..FILE:BinData/image1.bmp..FILE:Contents/section0.xmlChapter6 ... 네트 워크로 전송되는 것을 방지 / 브로드캐스트와 멀티캐스트 프레임을 그대로 전 송하므로 다른 세그먼트에 불필요한 트래픽을 발생시켜 성능 저하의 요인- 라우터 : IP주소에 의하여
    시험자료 | 3페이지 | 2,000원 | 등록일 2024.06.12
  • e-러닝 고객관계관리전략 2020년 2학기 기말고사 최신자료 강의 타이핑 + 기존 족보에 없었던 신규문제
    설정하고, 설득력 있는 목표치를 추론할 수 있는 방법론 제공7) crm 전략 운영 과정의 모니터링- 과거 : 마케팅이 완료된 이후 구체적인 데이터분석 진행- 최근 : 마케팅 활동이 ... 세그먼트 프로파일 분석- 각 세그먼트에 속한 고객들의 특성 파악을 위해 프로파일 분석을 수행함- 각 세그먼트의 특성을 중요한 변수 관점에서 요약하여 설명해주는 역할을 하며, 세그먼트 ... 실행(1) 고객 분류- 최종 도출된 세그먼트 기준에 따라 실제 고객들을 분류함- DB상의 모든 고객은 반드시 한 개의 세그먼트 내에 속하는 것을 원칙으로 함(2) 세그먼트 적합성
    시험자료 | 64페이지 | 4,000원 | 등록일 2021.01.12 | 수정일 2021.10.09
  • 홍익대학교 전전 실험1 프로젝트 보고서
    BCD-to-7세그먼트 디코더(7447)를 이용하여 값을 쉽게 표시할 수 있다. ... Button을 누르면 처음과 같은 설정상태로 되돌아가기 때문에 다시 동작할 수 있게 된다.작동원리 : 도어락을 만들기 위해서는 앞에서 설계한 카운터들의 값을 눈으로 볼 수 있도록 7세그먼트를 ... 따라서 a~g에 해당하는 세그먼트에 led가 켜지게 된다.
    리포트 | 9페이지 | 3,000원 | 등록일 2020.12.25
  • 충북대학교 전자공학부 기초회로실험 인코더와 디코더 회로 결과보고서
    인코더는 디코더와 반대기능을 지녔는데,2 ^{n}개 또는 이보다 적은 수의 입력 신호로부터 n개의 출력 신호를 만든다.7-세그먼트 실험에서는 디코더에 직접 입력을 넣어주고 표시기를 ... 회로를 구성하여 7-segment LED를 구동확인하고 0~9숫자의 논리식을 표시하라. ... ☞ABD _{0}D _{1}D _{2}D _{3}0*************0100110001(2) 다음은 7-segment의 진리표이다.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • MC68HC08에서 효율적인 C코드 작성하기
    pragma를 이용해 특별히 지정하지 않는 한, DEFAULT_RAM에 할당된다.Loops 구현시 주의사항만약 255번보다 적게 반복되는 루프가 있다면, 카운터로 unsigned GE 7 ... 특정 코드 세그먼트를 특정 메모리 영역에 위치시키려면, PRM 파일내에 PLACEMENT 블록에 해당 세그먼트 이름을 추가하면 된다. ... 프로그램시 myDirectPageVars 세그먼트를 다이렉트 페이지에 두는 것을 잊으면 안된다.
    리포트 | 9페이지 | 1,000원 | 등록일 2022.01.30
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:00 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대