• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,056)
  • 리포트(1,913)
  • 시험자료(95)
  • 방송통신대(21)
  • 자기소개서(16)
  • 논문(7)
  • 서식(3)
  • 이력서(1)

"7-세그먼트" 검색결과 141-160 / 2,056건

  • [디지털공학개론] 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    초나 분을 나타내는 6진 또는 10진 카운터들은 BCD-to-7세그먼트 디코더(TTL 7447)를 이용하여 값을 표기할 수 있다. ... 그리고 12진 카운터의 값이 10 or 11일 경우 TTL-7447 디코더와 7세그먼트 표시기로 표시하기에는 하나의 자리로 표시되어 알아보기 힘들다. ... 카운터를 입력 Ei가 0일 경우에 동작하지 않고 현재값을 유지하고 있으면 된다.시계에 필요한 시간을 표시하기 위해 디코더를 서게 해야 하는데 설계한 카운터들의 값이 눈에 보이도록 7세그먼트
    방송통신대 | 7페이지 | 3,000원 | 등록일 2021.03.23
  • 생산전략과공급사슬관리 삼립SPC 기업분석
    핵심자원- 기업이 보유하고 있는 실물적인 혹은 기술적인 자원으로 인적자원, 기술자원, 지식재산 등이이곳에 포함된다.(7). ... 고객 세그먼트-우리가 창출하는 가치는 누구를 위한 것인가로 고객층을 분석하는 것이다. 타겟층에 따른 전략 수립에 도움이 된다.(2). ... 고객관계- 고객과의 상호 작용으로서 마케팅적 요소가 크게 작용하는 부분이다.(5). 수익원- 수익이 창출되는 지점을 의미한다.(6).
    리포트 | 6페이지 | 2,500원 | 등록일 2023.10.21
  • [시스템프로그래밍]8086 인텔 프로세서에서 사용되는 레지스터에는 어떠한 것들이 있으며, 각각은 어떤 역할을 하는지 정리해 보세요.
    CS 레지스터를 참조하여 물리적인 메모리 어드레스 만듬.(4)세그먼트 레지스터ㆍCSCode Segment : 프로사용할 데이터가 저장된 세그먼트 시작번지를 가르킨다. ... 이 프로세서는 기본 3.7GHz 클럭을 시작으로 부스트 시 5.3GHz 까지 지원한다. ... (SS)에 있는 데이터를 엑세스 할 때 사용, 다른영역의(세그먼트가 다른)데이터를 간접번지 지정으로 엑세스 할 때 사용(3)인덱스 레지스터ㆍSISource Index : 간접 번지
    리포트 | 9페이지 | 8,000원 | 등록일 2021.05.07
  • 중소기업창업론 ) 창업아이디어 평가기법인 RWW 분석과 창업기업의 사업 수행 방식을 분석할 수 있는 비즈니스 모델 캔버스 및 그 구성요소에 대해 서술하시오.
    본론- RWW의 분석1) 현실(real)2) 승리(win)3) 가치(worth doing)- 비즈니스 모델 캔버스 및 구성요소- 비즈니스 모델 캔버스 구성요소와 RWW 분석의 특정 ... 영향을 창출하는데 있어서 필요한 사회적인 측면도 고려하기 위한 가치를 창출하는 섹션으로써 사회적인 가치 제안과 영향 측정, 고객 가치 제안, 수익자, 고객, 중재 유형, 채널 등의 7개의 ... 비즈니스 모델 캔버스 및 구성요소비즈니스 모델 캔버스란 기업이 가치를 창출하고 전파하는 방법을 합리적이고 체계적으로 묘사한 분석 도구로 고객 세그먼트와 가치제안, 채널, 고객관계,
    방송통신대 | 7페이지 | 5,000원 | 등록일 2022.01.21
  • 취업 및 이직관리용 기본이력서(12년간 8번 이직 성공, 마케팅 및 CRM IT 관련 내용)
    타겟 설계 및 데이터 추출(PL/ SQL)- 고객별(세그먼트) 캠페인 전략 기획- 고객 행동(구매, 취소, 반응율 등) 데이터분석[프로젝트]프로젝트명: 친구 추천 및 마케팅 정보 ... 작성 및 대시보드 설계이벤트 대상 고객타겟팅 및 캠페인 수행 / 피드백주요 실적: 고객 데이터 표준 작성 / 추출 로직 개발 / 대시보드 설계근무기간: 2018.04 ~ 현재 (3년7개월 ... 조직과 고객의 니즈를 파악 후, 회원가입 및 결제 페이지 등 서비스 개선을 기획하고, 고객 세그먼트를 세분화시켜 체계적으로 관리했습니다.
    자기소개서 | 7페이지 | 3,000원 | 등록일 2022.05.29
  • 독일의 공업
    고성능과 럭셔리함을 강조합니다.모델: BMW 3 시리즈, 5 시리즈, 7 시리즈, X5, X7, M 시리즈 등.메르세데스-벤츠 (Mercedes-Benz)특징: 세계적으로 럭셔리한 ... Q8, R8, e-tron 시리즈 등.폭스바겐 (Volkswagen)특징: 다양한 세그먼트의 승용차를 제조하며, 폭넓은 소비자 층을 대상으로 합니다. ... 북미, 유럽, 아시아 등 주요 시장에서 고급차 및 대중차 세그먼트 모두에서 인기를 끌고 있습니다.제조 공정과 산업 클러스터:독일 남부 바이에른 주와 바덴뷔르템베르크 주는 주요 자동차
    리포트 | 5페이지 | 1,000원 | 등록일 2024.06.01
  • 메카트로닉스 마이크로프로세서 Shift Register
    =0; 7세그먼트에 출력i++;if(i==60) i=0; -> 59까지 숫자 상승delay_ms(500); -> 500ms 간격 설정};두 번째 실습(심화)shift register ... 쉬프트레지스터를 통해 0.5초, 0.3초 간격으로 7세그먼트에 숫자 카운터를 구현하는 실험을 진행했습니다. ... 출력포트 확장을 통해 7-segment에 0.3초 간격으로 0부터 99까지 증가(심화)- 실습에 사용된 핵심 부품과 프로그램마이크로컴퓨터 싱글보드74HC59574LS47 IC7-segmentCodeVisionAVR
    리포트 | 8페이지 | 2,000원 | 등록일 2021.06.11
  • Mux&Decoder2차레포트 디지털회로설계
    . 7세그먼트는 각 획에는 LED가 내장되어 있어 LED의 점등으로 표시를 한다.A~G까지7개 DP 1개, 총 8개의 LED가 내장 되어 있다.제 2장 각각의 schematic디자인 ... 뽑을 수 있게 하는 것 이다Decoder디코더는 활성화될 때 입력신호에 따라 여러 출력선 중 하나를 선택하게끔 만드는 장치이다.FND(Flexible Numeric Display)7세그먼트 ... DE2작동 사진은 역시 없다.(5) FND Decoder VHDL-VHDLRTL VIEWER시뮬레이션 결과output 의 결과는 7자리를 뜻하고 1인부분은 불이 안켜지고0인 부분만
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • 디지털회로실험 텀 프로젝트
    실험목적3비트 전가산기를 이용해 두 자리 덧셈을 하고 그 결과를 7-세그먼트에 나타낸다.2. 실험재료칩소켓 - 16pin(4개), 14pin(2개)칩 ? ... 74LS47(2개), 74LS283(2개), 74LS08(1개), 74LS32(1개)세그먼트 _ 7 sagment 캐소드 타입기판3. ... 구현과정3.1 진리표가산기 입력 A : 1 1 0 (6)가산기 입력 B : 임의로 조절A + BAB0 1 1 0 (6)1 1 0 (6)0 0 0 (0)0 1 1 1 (7)0 0 1
    리포트 | 4페이지 | 2,000원 | 등록일 2020.04.26 | 수정일 2020.05.14
  • (경영전략론) 기업을 한 개 선택하여 다음의 방식으로 각각 분석하시오
    관계Customer Relationship- 기업과 고객 세그먼트 간의 관계앱의 고객 프로필에 기반한 개별적이고 개인적인 관계의 형성5수익원Revenue streams- 고객 세그먼트에 ... 의한 기업의 소득마켓컬리 플랫폼에서의 제품 판매 소득6핵심 자원Key Resource- 기업의 사업 운영을 위한 핵심자원기업의 물류망과 유통망, 식품상품 조달망7핵심 활동Key Activities ... - 기업이 고객 세그먼트와 커뮤니케이션하는 통로 내지 방법모바일 앱 서비스를 기반으로 한 구매 플랫폼 및 소통 플랫폼의 제공구매 전 타깃 마케팅 및 구매 후 CRM과 고객 지원 실시4고객
    방송통신대 | 7페이지 | 3,000원 | 등록일 2021.08.24
  • 과제점수 만점!!) 에러 검출 기법 4가지(패리티비트, 블록합, CRC, 체크섬)의 비교 및 에러 검출코드 생성
    -데이터 비트열: *************11) 패리티 검사필자의 전화번호 마지막 자릿수는 홀수인 3이므로, 홀수 패리티를 적용한 7비트 전송 시스템으로 비트열을 작성하도록 하겠다.주어진 ... 데이터를 n 비트의 세그먼트로 나눈 뒤, 각각의 세그먼트를 더합니다. ... 데이터 비트열은 14비트이고, 7비트 전송 시스템이기 때문에 2개의 7비트 덩어리(1011101, 0100001)로 데이터를 나눈다.
    리포트 | 3페이지 | 3,000원 | 등록일 2023.03.07
  • [대학물리] 수면파&줄파 생성 실험 PPT
    공명주파수 ( 실험측정값 ) hz 파장 (m) 공명주파수 ( 이론값 ) hz 퍼센트오차 (%) 파동속도 (m/s) 1 7.4 2 7.3 1.3 14.8 2 14.4 1 14.7 2 ... 수면파 줄파 생성 실험 6 조목 차 수면파 생성 1) 실험장비 2) 반사 3) 굴절 4) 회 절 5) 간섭 6) 파동속도 7) 유의사항 2. ... 11.3 4 20.2 1/2 20.9 1 10.1줄의 진동 질량 = 100 g, 줄 길이 (L) = 100 cm , 선형밀도 = 0.0045 kg/m, 장력 =0.98 kg/m2 세그먼트
    리포트 | 43페이지 | 2,000원 | 등록일 2021.12.08
  • 트랜스포트 계층 QUIZ (문제와 풀이)
    다만 수신자는 자신이 보낸 마지막 ACK,NAK 여부를 알 수 없다.문제7. ... 이렇게 체크섬을 이용해 오류가 검출될지라도 UDP에서는 오류가 난 세그먼트를 그냥 버리고, 오류가 나지 않은 세그먼트만 애플리케이션에 넘겨준다. ... 파이프라인 프로토콜 중 Selective repeat에 비해서 Go-Back-N 방식의 장점은 무엇일까?
    리포트 | 5페이지 | 1,000원 | 등록일 2020.08.16
  • 분포 시각화 실생활 사용 유형_고려사이버대학교
    세그먼트별 제목과 분포를 글자 크기와 굵기를 다르게 하여 표현3. ... 식수 출처 분포, 2015년 가구별 배변시설 유형 → discrete data2) 차트 유형: 누적 막대 그래프3) 시각적 속성① 색: 첫 번째 그래프는 5가지, 두 번째 그래프는 7가지 ... 사용② 텍스트: 차트 제목과 각 세그먼트별 분포와 범례를 글자 크기와 굵기와 색을 다르게 하여 표현3.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.16 | 수정일 2024.04.15
  • 메카트로닉스 마이크로프로세서
    이전 실험을 통해 7세그먼트에 대한 이해도를 높일 수 있었던 덕분에 이번 실험까지도 수월하게 진행할 수 있었다고 생각합니다. ... 있어 더 빨리 문제점을 찾을 수 있는 방법이라고 염두해두고 진행하게 될 것입니다.두 번째 실습으로는 0.2초 간격으로 LED가 점멸하고 버튼을 누르면 정지하게 되는 실습이었습니다. 7- ... 세그먼트를 통해서 LED를 깔끔하게 연결할 수 있었고, SW1 버튼을 누르면 일시정지하게 되는 결과를 얻을 수 있었습니다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.10
  • Unix Linux 시스템 ) Unix, Linux 시스템에서 제공하는 IPC mechanism에 대해 다음 사항들을 조사하여 제출 - 유닉스 IPC
    세그먼트는 하나의 프로세스에 의하여 만들어질 수도 있고, 그 이후에는 어떤 프로세스 상관없이 그 세그먼트에 쓰기와 읽기를 할 수 있다.공유 메모리를 사용 시 여러 프로세스가 비동기적으로 ... . 7System AdministraionPOSIX. 8Transparent File AccessPOSIX. 12Protocol Independent Network Interfaces ... 정보는 메모리 세그먼트로부터 호출된 프로세스의 주소 영역에 직접 매핑되어 있다.
    리포트 | 7페이지 | 5,000원 | 등록일 2022.02.11
  • 18. 다이오드 회로와 발광 다이오드 회로-결과-기초전자전기실험2
    [실험 2]표 18.2 7세그먼트 실험표시 숫자접지 핀01 10 8 5 2110 821 10 3 4 531 10 3 8 542 3 10 851 2 3 8 562 3 4 8 572 1 ... 10 881 2 3 4 5 8 1091 2 3 10 8※ 뒷면에 검토 및 보고 사항을 작성할 것.7-segmentFeaturesElectro-Optical Characteristics ... PointPin NumberPin NumberEmitting PointA110BF29rm V_CCG38CE47rm V_DPD56DP우선 지금 사용하는 7-segment는 숫자를 구성하기
    리포트 | 4페이지 | 1,000원 | 등록일 2021.12.29
  • 디지털 시계 결과보고서
    디코더 회로 : 7447(Common Anode)표시회로 : 7-SegmentCOM 단자에 연결한 330Ω 저항은 보호용이다시와 분을 조정하는 S1과 S2 스위치 부분에 사용한 7414는 ... 목적 및 목표브레드보드에서 4020, 7490, 7447소자의 동작을 이해하고 주파수가 세븐세그먼트의 숫자에 어떤 영향을 끼치는지 알아보자!3.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.09.23 | 수정일 2019.09.24
  • 디지털 논리 회로 실험 레포트 [7세그먼트로 숫자표현]
    , Anode각 각각의 8개의 Pin으로 연결되는 7세그먼트이다.그림 11 7-segmentⅣ. ... Pin에 연결되고, Cathode각 각각의 8개의 Pin으로 연결되는 7세그먼트이다.Common-Cathode Type은 내부 LED의 Cathode가 Common Pin에 연결되고 ... SN74HC86N의 데이터 시트는 다음과 같다.그림 10 SN74HC86N의 데이터 시트11. 7-segment7 segment display는 7개의 획으로 숫자나 문자를 나타내는
    리포트 | 10페이지 | 1,000원 | 등록일 2020.01.03
  • 광소자의 특성 예비레포트
    .(5) 가장 일반적인 LED배열(array)는 7-세그먼트 표시기이다. ... (1)적색, 녹색 및 황색 LED에 대한 실험 data를 얻는다.(2) 7-세그먼트(seven-segment) 표시기로 숫자를 표시한다.(3) 포토 커폴러 (photo coupler ... LED전류를 계산하여라(3) 7세그먼트 표시기는 어떤 영문자와 숫자를 표시할 수 있는가?
    리포트 | 7페이지 | 1,000원 | 등록일 2021.09.03
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:42 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대