• 통큰쿠폰이벤트-통합
  • 통합검색(2,036)
  • 리포트(1,894)
  • 시험자료(94)
  • 방송통신대(21)
  • 자기소개서(16)
  • 논문(7)
  • 서식(3)
  • 이력서(1)

"7-세그먼트" 검색결과 241-260 / 2,036건

  • 데이터통신과 네트워킹22장 연습문제 과제 홀수번 포함
    이 경우 접두사는 FC00/7이다. ... IPv4의 프로토콜 필드와 유사하다.1.IPv6패킷이 기본 헤더와 TCP 세그먼트로 구성되어 있다. 데이터의 길이가 128,000바이트(점보 페이로드)이다. ... 129.6.12.34와 호환되는 IPv6 주소를 구하시오.::129.6.12.34/12811.ICMPv6의 이웃 발견 메시지와 ICMPv4의 대응하는 메시지를 비교 대조하시오.이웃-발견
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.18
  • ICH- NCU (외과계 중환자실) 케이스
    수두증 없음.5. 1) 왼쪽 PCA의 P2 세그먼트에서 초점 협착2) 왼쪽 VA의 V4 세그먼트에서 초점 협착, 기본 석회화 동맥 경화 변화3) 오른쪽 VA와 기저동맥의 저혈당증낙상위험 ... 임부 또는 임신하고 있을 가능성이 있는 부인7. 이바브라딘을 병용투여하는 환자부작용 및 간호1. ... 호흡기계: 기관지경련7. 비뇨생식기계: 방광염, 신결석, 발기부전, 무월경, 질염, 전립선 질환8.
    리포트 | 33페이지 | 2,500원 | 등록일 2021.05.23 | 수정일 2021.08.15
  • 컴퓨터구조 PC발전사, 저전력 컴퓨팅 기법
    유닉스유닉스는 멀틱스를 개발하던 중 PDP-7 컴퓨터를 위해 어셈블리 언어로 작성되었다가, 1972년에 C 언어로 다시 작성되었다. ... 멀틱스시분할 방식에, 페이지와 세그먼트를 이용한 메모리 관리 시스템, 링 프로텍션, 프로세스 관리, 주변장치 관리 기능 등 모든 면에서 현재 우리가 사용하는 운영체제의 기능을 가지고 ... 멀틱스의 기능은 그대로 이어받되 몸집을 줄였으며, 이름 또한 멀틱스의 multi-를 단일이라는 의미의 uni-로 바꾼 것이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.11
  • Term_Project_보고서_1조
    출력을 세븐 세그먼트의 입력으로 연결해주기 위해 bcd 코드를 7- segment 입력으로 넣어주는 기능을 하는 소자인 7447(Bcd to 7-segment)를 사용하여 정상적인 ... 때문에 7447(BCD-to-7segment)을 이용하여 연산에서 사용된 2진수를 7segment에서 이용할 수 있도록 변환시켰다. ... 그 다음 7447(BCD-to-7segment)을 사용하여 입력 값들을 바로 7segment에 보여줄 수 있게 설계하였다.Switch?ON?OFF?(a) 그림?0V (Low)?
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 기업가정신과 벤처경영 데이블사례 질문
    : 맞춤형 정보를 바로바로 변경해주는 시스템: 유연한 한글 처리고객세그먼트- 언론사(동아일보, SBS, 한겨레, 조선일보와 같은 대형 언론사)- 포털사이트(네이트, 줌), 커뮤니티( ... 전환으로 인한 지나친 비용발생이 기업의 안정성과 유지가능성에 해악을 끼치지 않도록 주의해야한다.7. ... 과거 경험을 바탕으로 직접 창출할 수 있는 시장을 고려해보았을 때, 개인화 추천 서비스를 통해 대기업과 중소형 쇼핑몰의 매출 증대정도는 모두 7%로 유사하였다.
    리포트 | 5페이지 | 2,500원 | 등록일 2021.05.15 | 수정일 2022.10.10
  • 유통관리론 마켓컬리 기업분석발표자료
    신선함 밤 11 시까지 주문하면 다음날 아침 7 시까지 배송을 완료하는 시스템 온라인 업계 최소 식품 전용 냉장 , 냉동창고와 냉정 , 냉동 차량을 이용한 ‘Full-Cold Chain ... / 오프라인으로 데이터 수집과 커뮤니티 바이럴을 통한 마케팅 (‘100 원 체리 ‘) 1 기 2 기 3 기 4 기 최적화 2017 년 온라인 마케팅 집중 , 효과적인 채널과 고객 세그먼트 ... 배송시간 무료배송 기준 총 평 서울 전역 및 수도권 , 대전광역시 , 세종특별시 , 천안시 , 아산시 , 청주시 등 충청권 5 개 도시 오후 11 시 이내 주문 시 다음날 오전 7
    리포트 | 17페이지 | 2,000원 | 등록일 2023.04.25 | 수정일 2023.07.27
  • 만도 기업분석
    1997. 08. 07 GM사와 자동차 조향장치 6천7백만불 규모 공급계약 체결?1999. 11. 27 (주)만도로 신회사 출범? ... 만도는 현대차그룹에서 SUV, 상위 세그먼트 차량에 대해 시스템을 공급하고 있어서 수혜가 부각될 것.?국토부의 자동차 자율주행 시장 활성화 방안과 관련하여 수혜 기대? ... 비전 - 최고의 기술로 신뢰받는 기업?경영전략 - 기술경쟁력 회복, 균형적 고객 및 제품 포트폴리오 구축, 수익성 제고?경영이념 - 고객, 기술, 사람?
    자기소개서 | 7페이지 | 3,000원 | 등록일 2020.01.03
  • 전기전자기초개론실험/기계공학실험 설계 텀프로젝트
    항상 모든 부품에 있는 핀을 다 브레드보드에 삽입하는 줄 알았지만 7-세그먼트나 IC 칩 연결 시 접지 상황이나 합선 등의 다른 문제에 의해 핀 다리를 전부 다 사용하지 않는 경우도 ... 상부의 7-segment와 74LS47로 이루어진 7-segment 디스플레이 장치의 작동원리에 대한 이해가 필요하다.사용부품은 7-segment 6개, 74LS47 6개, 74LS90 ... 7-segment 디스플레이 장치의 동작원리그림 5는 74LS47의 결선도, 그림 6은 7-segment를 나타낸다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.11.29
  • 자동차의 시동 메커니즘 보고서
    계자코일에 전류가 흐르면 계자철심은 전자석이 된다.그림7. 5. 브러쉬브러쉬는 브러쉬홀더에 끼워져 홀더스프링의 장력에 의해 정류자에 접촉, 밀착된다. ... 절연재료가 세그먼트에 균일하게 분산되어 1차코일과 2차코일을 절연시키는 구조로서 고전압에 대한 절연능력이 우수하다. ... 재료는 구리를 사용하고, 위와같이 철심이 대기로 노출된 형식도 있다. 2차코일은 1차코일의 바깥쪽에 위치하며 세그먼트 속에 분산되어 감겨있다.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.01.06
  • 신라호텔과 힐튼 호텔 마케팅 전략
    적시적소에 요금 차 별화를 실시한 판촉전략 . ∎ 항공사와 여행사를 통한 잠재고객을 발굴 ∎ 새로운 고객층을 확보 . ∎ 수요 공급의 원칙에 탄력적으로 대응하면서 마켓 세그먼트의 요금을 ... 80% 달성 .Marketing 힐튼호텔 경영전략 경영전략 2) 서울 그랜드 힐튼 호텔 ∎ 컨벤션 마케팅 ∎ Starbucks 의 만남Marketing Marketing Mix 7p ... 최고의 서비스 국내 최대의 컨벤션 소유 BAS :Book At Shilla Hilton H Honors 해외 잡지 광고 Tv 광고의 시초Marketing Marketing Mix 7p
    리포트 | 28페이지 | 2,500원 | 등록일 2022.01.02 | 수정일 2022.01.09
  • 논리회로설계 실험 디코더 인코더
    이진화 십진법을 사용할 경우 각 자리 별로 하나씩 간단한 변환 회로를 만들어서 7세그먼트 표시 장치 등에 바로 연결할 수 있기 때문에 전체적인 구현을 간편하게 할 수 있다. ... /digital05_2.htmBCD- to7http://blog.naver.com/PostView.nhn? ... 실험 내용- 실험 1.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • [원광대학교 A+] 기업가정신및창업기초 기말고사 족보
    11)핵심 파트너쉽-문제2)핵심활동-해결책3)고객관계-일방적 경쟁우위4)채널-고객반응5)핵심자원-핵심지표7.다음중 창업자가 피해야 할 유형이 아닌 것은? ... 31)명확한 가치제안2)수익 메커니즘3)명확한 고객세그먼트4)선순환 구조5)모방 불가능성2.특허권에 대한 독점적 배타적 사용권을 주는 경우로 이를 무엇이라 하는가? ... 모름1)새로운 진단장치-특허권2)과자명칭-상표권3)작곡-저작권4)캐릭터 인형-디자인권5)기능성 신발-상표권12.특허에 대한 계약서를 작성할 시 중요한 사항이 아닌 것은?
    시험자료 | 3페이지 | 5,000원 | 등록일 2023.07.17 | 수정일 2023.07.26
  • 2018 컴퓨터 구조 과제 1 (chapter 1,2,3)- 단국대학교 소프트웨어학과
    store->반복]7. ... 컴퓨터의 성능은 clock 속도로만 측정되지 않기 때문에 시스템의 구성요소에서 다른 요소(CPU(인텔 코어 i7,Xeon E5-2690), RAM(DDR3), 그래픽카드(엔디비아), ... 각 단계에서 일부 데이터에 대해 산술 or 논리 연산이 수행되는데 가능한 제어 신호 집합마다 고유한 코드를 제공하고 범용 하드웨어에 코드를 받아들이고 제어 신호를 생성할 수 있는 세그먼트
    리포트 | 5페이지 | 2,000원 | 등록일 2020.05.22
  • A+) [디지털비즈니스] 디지털 마케팅
    사례7-1) 이케아7-2) 에어비엔비7-3) Lyft7-4) 세포라8) 디지털 마케팅 트렌드8-1) 3D 광고8-2) NFT8-3) 구독 패스8-4) 옴니 채널1. ... PPC(pay-per-click)4-3) 이메일 마케팅4-4) SMS4-5) 비디오 마케팅4-6) SNS 마케팅4-7) 콘텐츠 마케팅4-8) 바이럴 마케팅4-9) 인플루언서 마케팅4 ... 대표적으로 구글에서 제공하는 ‘Google Analytics’는 데이터 세그먼트 기능, 구글 ads와의 연동 등 다양한 데이터와 기능을 탑재한 무료 시스템이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.09.10
  • 마케팅 믹스'에 대해 상세히 서술
    과목명 : 경영관리론주제 : '마케팅 믹스'에 대해 상세히 서술- 목 차 -Ⅰ. 서론Ⅱ. 본론1. 마케팅믹스란?2. 요소 및 특성3. 마케팅 믹스 이전의 STP4. ... 마케팅 믹스의 구성요소가 경영환경에 적합할 수 있도록 4C, 즉 Cost, Convenience, Consumer, Communication을 믹스하기도 하고 4P를 보완해 만든 7P등의 ... 설계된 이후 대부분 스마트폰으로 이용하는 것을 알게되고 서비스가 일반 엔터테인먼트를 위해 활용된다고 판단됨에도 불구하고 실제 아침에 교육용 팟캐스트를 스트리밍하는 대규모의 소비자 세그먼트
    리포트 | 4페이지 | 2,000원 | 등록일 2024.02.29
  • 행정고시(5급공채) 전산직 합격자 정리노트(QnA) - NW
    OSPF, Inter-AS 라우팅 프로토콜 (EGP, Exterior Gateway Protocol): AS 사이의 라우팅을 다루는 글로벌 라우팅 프로토콜 ex) BGP"261L3 Iz7 ... 수신하지 못하면 프로브 세그먼트를 전송함. 75초 간격으로 10개의 프로브를 보내도 응답이 없으면, 연결을 종료함"76L2 무선무선0.810 1 "SNR은 커질수록 원하는 신호를 ... 폭주 오류 (Burst Error)395L4 TCPTCP 신뢰0.590 1 TCP에서 Keepalive Timer의 동작에 대해 설명하라"서버가 2시간동안 클라이언트로부터 어떠한 세그먼트
    시험자료 | 5페이지 | 10,000원 | 등록일 2023.03.26 | 수정일 2023.06.07
  • 파타고니아 마케팅 SWOT,STP,4P 분석
    파타고니아는 일반화된 1차 시장을 여러 유한 시장 세그먼트로 나눌 수 있다. ... 파타고니아 마케팅 4P전략 분석(1) Product(2) Price(3) Place(4) Promotion7. 결론 및 느낀점1. ... - 아웃도어 브랜드시장의 치열한 경쟁- 패스트패션의 여전한 인기- 소비주의 사회조장이라는 반발5.
    리포트 | 8페이지 | 4,300원 | 등록일 2022.01.28
  • 논리회로실험 첫번째 프로젝트 BCD to 7segment 가산기 결과
    ) BCD to 7 segment Decoder- BCD-to-7세그먼트 디코더는 디코더의 일종으로, 4비트로 구성된 BCD 값을 입력으로 받아들여 7 segment에 각 디스플레이가 ... 소수를 나타내기 위해서 숫자의 오른쪽 아래에 소수점(h)이 붙는 경우도 있다.- 7 segment의 7개의 획과 하나의 소수점 표시등은 LED가 내장되어 있어 각각 꺼지거나 켜질 수 ... 있으며 이를 통해 아라비아 숫자를 표시할 수 있고 몇몇 개의 알파벳 또한 표현 할 수 있어 16진수까지 표현이 가능하다.16진법 7 segment 표기- 7 segment는 A형과
    리포트 | 10페이지 | 1,500원 | 등록일 2021.10.01
  • [논리회로실험] 실험8. Counter 결과보고서
    세그먼트로 결과 확인[ 실험결과 ]실험 3-1)최하위비트인 A부터 클럭이 발생할 때마다 0000~1001까지 1씩 Counting되는 출력 값이 나타날 것이다.DCBA00000100012001030011401005010160110701118100091001실험 ... 3-2 : 7-segment 표시기를 갖는 BCD Countr supply를 연결하고 74HC47, FND507 칩을 이용하여 BCD Counter를 설계한다.오실로스코프에서 사각파를 ... 3-2)3-1의 결과값을 이용하여 7segment의 입력에 넣은 예상 table은 아래와 같다.DCBAabcdefg7-segment00000111111001000101100001200101101101230011111100134010001100114501011011011560110001111167011111100007810001111111891001111001197490칩으로
    리포트 | 6페이지 | 1,000원 | 등록일 2023.03.28
  • 디지털제품과 일반 아날로그제품을 선택해서 신제품 개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오
    신제품 개발 7단계4. 디지털제품과 아날로그제품의 비교Ⅲ. 결론?참고문헌Ⅰ. 서론오늘날의 4차 산업혁명 시대에 많은 제품이 디지털화되고 있다. ... 마케팅 세그먼트, 타겟 마케팅 설정, 위치 설정, 가격 정책, 제품 정책, 패스 정책, 광고 정책 등 마케팅 전반의 테스트가 포함된다.이 프로그램은 상당한 비용이 드는 데다 시험에 ... 걸리는 시간이 길어 그동안 경쟁사가 대응할 수 있는 기회를 제공할 수 있다.7) 상업화테스트 결과가 좋아도 시장에서 성공하려면 상당한 시간이 걸린다.
    리포트 | 7페이지 | 2,500원 | 등록일 2023.04.26
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:17 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대