• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(843)
  • 리포트(803)
  • 자기소개서(27)
  • 시험자료(8)
  • 논문(4)
  • 방송통신대(1)

"LCD시계" 검색결과 361-380 / 843건

  • ATmega8을 이용한 시계 및 온도계
    작품 설명(1) Summary ATmega-8을 사용한 디지털 시계 및 온도계 - display : LCD - LM35DZ 온도 센서를 이용 - 버턴을 이용해 시계의 시간, ... 온도 설정 기능 - 내가 설정한 온도보다 현재온도가 높을 시 멜로디IC가 울리게 하는 기능(2) Purpose - 타이머 - 인터럽트 사용법 - A/D 및 증폭기 - LCD ... ATmega8을 이용한디지털 시계 및 온도계- 목 차 -1. 작품 설명 ---------------------------- p32.
    리포트 | 15페이지 | 10,000원 | 등록일 2007.07.05
  • [LCD][액정]LCD(액정표시장치, 액정디스플레이) 개념,발전역사, LCD(액정표시장치, 액정디스플레이) 특성, LCD(액정표시장치, 액정디스플레이) 시장가능성, LCD(액정표시장치, 액정디스플레이) 시장동향,수요전망
    FLC, 고분자에 분산되어 산란모드를 이용하는 PDLC등이 활발히 연구되고 있다.LCD는 표시장치로써 실용화된 이후로 아직 20여년 밖에 지나지 않았지만 전자계산기 및 시계의 표시부로부터 ... LCD의 구조4. LCD의 특성1) LCD의 장점2) LCD의 단점Ⅳ. LCD(액정표시장치, 액정디스플레이)의 시장가능성1. CRT모니터에서 LCD모니터로 전환2. ... LCD의 구분1) Matrix Type(TN, STN)2) Active Matrix Type(TFT)2. LCD의 동작원리1) TN-LCD2) STN-LCD3) TFT-LCD3.
    리포트 | 8페이지 | 5,000원 | 등록일 2008.08.19
  • 기능성 색재현 플라스틱 UV 하드코팅 특징
    플라스틱 하드코트의 적용분야 용도 구체적 응용분야 막형성법 주요요구특성 Metalized 조명플레터 , 시계 스프레이 내찰상성 금속막의 밀착성 투명플라스틱성형품 자동차용 헤드 램프 ... 기능을 부여한 UV 하드코트 ■ LCD 등 , 플랫 패널 디스플레이 등의 반사방지 재료 로서 플라스틱 기재 상에 고굴절율 층을 적층한 gross 타입의 반사방지 필름 (4) 고굴절
    리포트 | 25페이지 | 3,500원 | 등록일 2012.05.18
  • LCD 시험 예상 문제 자료 및 답
    다음은 TFT-LCD 모듈공정이다. ... 있어 다양한 분야에서도 활용될 수 있다.높은 휴대성 측면에서는 사용자에게 친숙한 신용카드 크기로서 일반적인 지갑에 보유하는 것이 가능하고 필요시 휴대폰내의 슬롯에 장착이 가능하며, 시계형 ... 이 패턴은 심지어 LCD 판낼을 장착한 후에도 감지할 수 있을 정도이다.사람의 눈은 화면을 통한 영상들이며, Dot pattern은 아니기 때문에 이들을 최소화시키거나 없애는 과정이
    리포트 | 6페이지 | 2,000원 | 등록일 2009.12.08
  • [전기회로실험] DC Measurements 예비보고서
    숫자 표시장치는 7-segment LED 또는 LCD가 사용된다. ... 극성이 올바로 연결되면 전류계의 바늘이 시계방향으로(왼쪽→오른쪽) 움직일 것이다. 만약, 극성이 잘못 연결되면 전류계의 바늘이 전류계 눈금의 왼족 밖으로 빠르게 움직일 한다. ... LCD 표시장치는 어둡거나 희미한 불빛에서는 숫자가 잘 보이지 않는 단점이 있으나, 전력소모가 작다는 장점을 갖는다.※ 눈금아날로그 계기는 교정된 눈금을 사용하므로 눈금을 읽기 위한
    리포트 | 9페이지 | 1,000원 | 등록일 2011.10.05
  • RFID 도어락 제작
    회로도표시부는 LCD를 사용하였다. ... LCD표시장치는 크게 문자형과 그래픽형 2가지로 분류되는데 영문자와 숫자의 폰트를 명령어에 의해 표시하므로 제어가 쉬운 문자형 LCD를 사용하였다.다. ... 전원단자이며, Vss는 접지 단자를 말한다.j. 2개의 16비트 타이머/카운터타이머란 규칙적인 AT89C51 내부의 펄스가 하나씩 들어오면 이를 세는 장치이고, 카운터를 사용하여 시계
    리포트 | 27페이지 | 1,500원 | 등록일 2010.03.16
  • OEM,ODM 사례 연구
    (OEM, ODM이 일반화된 패션 시계 시장)물론 초기 판촉시에는 두 회사 모두 열심히 제품을 홍보해야겠지만, 수주단계에선 우선 순위를 정해야 한다. ... 예를 들어 LCD TV를 ODM 생산하고 있다면 신 제품으로 3D TV 개발을 제안해 볼 수 있고, 일반 전화기를 OEM 생산하고 있다면 고가의 Conference call 다중 통화
    리포트 | 8페이지 | 2,000원 | 등록일 2013.07.30
  • 노키아 국내외 시장 분석과 국내진출 실패 원인 및 재 진출 분석
    .유통 고객 서비스 센터인 ' 노키아 케어센터 ' 설립 - 강남 , 신촌 , 부산에서 운영 - 제품수리와 기능향상 서비스 , 제품 이용상담 제공 - 액서서리와 티셔츠 , 가방 , 시계 ... 미국식 디지털 이동통신 방식 .국내시장 Weakness ① 소비자들이 원하는 디자인 생산하지 못함 : 당시 한국 소비자들이 원하는 것은 플립형 , 폴더형 그리고 앞뒤 LCD 창 등 ... 소비자 욕구 외면 : 당시 한국 소비자들이 원하는 것은 플립형 , 폴더형 그리고 앞뒤 LCD 창 등 이다 점유율 선점의 어려움 : 국내업체에 의한 시장 지배구조국내시장 재진입 2009
    리포트 | 26페이지 | 2,000원 | 등록일 2010.11.21
  • 애니콜의 SWOT분석
    또한 지난해 전년 대비 277%의 급격한 성장률을 기록, 시계 휴대폰 제조업체중 가장 빠른 성장세를 보이기도 했다. ... 영문 lcd를 사용해 왔고, lcd를 사용했으며, 98,11부터 prapgic lcd type을 적용하여 출시하고 있다.이에 따라 를,틀 과 같은 글자가 겹쳐보이거나 올바르게 표현되지 ... 강점(strength)①기술 개발㉠1.8인치 컬러 LCD 채용휴대폰에 적용된 최초의 컬러 TFT 를 채용한 애니콜 TV폰은 기존 LCD에서 구현할 수 없었던 다양한 아이콘의 컬러와
    리포트 | 7페이지 | 2,000원 | 등록일 2009.05.04
  • 열역학 설계 프로젝트
    주변에서 흔히 볼 수 있는 태양전지로 물을 분해하여 수소를 얻는 방법입니다 장난감이나 시계나 계산기보면 태양전지로 사용할 수 있는 제품들이 많이 있습니다 . ... 있음응용분야 발전현황 (MCFC) 2kW 급 가압 MCFC 스택응용분야 발전현황 (DMFC) 1 W 급 모노폴라 스택에 의해 작동되는 무전기 600mW 급 모노폴라 스택에 의해 작동되는 LCD
    리포트 | 13페이지 | 1,000원 | 등록일 2010.11.19
  • 삼성반도체의 기술혁신 전략 - 레포트
    90년 3라인 대규모 투자 16MD램 공동 최초 개발01~02년 세계 최초 4GD램 공정 기술 개발 반도체 시장 순위 2위84~86년 사업본격 추진 및 시련기74년 한국 반도체 인수 시계 ... 긴밀한 협조, 장기적인 신뢰관계, 창의적인 조직문화 요구 - 유연하고 창의력과 혁신성이 장려되는 문화요구 • 핵심역량이 있고 시장규모가 어느정도 있는 분야에 집중적 투자 요구 - LCD
    리포트 | 15페이지 | 2,500원 | 등록일 2011.05.07
  • 관여도와 저관여 구매의사결정
    마케팅 전략을 수립 하는데 도움1.2 관여도와 수단-목적 사슬롯데 자일리톨1.3 관여도의 대상제품군(product class) 평면TV 제품형태(product form) PDP, LCD ... 명품 시계심리적 결과도구적 가치최종가치제품 지식자기 지식미녀는 석류를 좋아해두산 We'veRolex 시계구체적 속성추상적 속성기능적 결과석류과즙 20%독특한 음료수촉촉한 피부소음이 ... 없다조용한 집방음 100%오차율0% 정확성 100%명품 시계시간개념 확립도구적 가치최종가치제품 지식자기 지식미녀는 석류를 좋아해두산 We'veRolex 시계구체적 속성추상적 속성기능적
    리포트 | 31페이지 | 2,000원 | 등록일 2009.06.24
  • [공학]LCD, 광시야각,IPS기술,RFFMH기술, LFIVA기술
    위쪽은 시계방향이다. ... θ라면, 문턱값보다 큰 전압이 걸렸을 때, 액정분자의 장축의 회전방향은 Δε이 음인 액정은 반시계방향, Δε이 양인 액정은 시계방향이다. ... 전자시계의 숫자를 봐도 눈에 비춰보는 각도에 따라서 표시 문자의 흐림과 진함이 달라진다.
    리포트 | 11페이지 | 2,500원 | 등록일 2007.04.17 | 수정일 2022.03.09
  • 삼성전자 기업분석
    주로 컬러 TV·전자계산기·전자손목시계·전자식 금전등록기 등 전자제품과, 냉장고·에어컨디셔너·세탁기 등 가전제품 류, 통신 장비류를 제조·판매(수출)하였다. ... LCD 7세대라인 규격 확정? ? 2003.09.24 ? ? 세계 최초 지상파 DMB 수신기 개발? ? 2003.09.29 ? ? ... 세계 최대 82인치 TFT-LCD 개발? ? 2005.06.21 ? ? 세계 최초 90나노 512Mb GDDR3 양산? ? 2005.07.14 ? ?
    리포트 | 22페이지 | 2,000원 | 등록일 2009.04.29
  • S/W 기능사양의 상세 설계 및 구현
    내용을 10msec마다 상위, 하위 8바이트씩 LCD에 Wright한다.④ 타이머 및 시계 기능의 구현을 위해 TIME_REG 영역을 매 10msec마다 Update하고10ms/100ms ... LED를 제어할 때는 KS88C0016의 포트 1과 KS88C3016의 포트 1이 같은버스를 공유하므로, KS88C3016의 포트 1은 데이터의 충돌을 막기 위해 입력모드로 한다.② 시계 ... .③ Key 및 LCD 기능가.
    리포트 | 4페이지 | 1,000원 | 등록일 2007.07.27
  • [공학]8051(어셈블리어)로 구현한 디지털시계 프로그램 소스
    작품구성요소1) KUT51 키트2) LCD3) 스위치 (외부 인터럽트)4. 작품 내용어셈블리어로 작성한 프로그램을 8051에 저장하여 연결된 LCD에디지털 시계를 표시.5. ... 작품 개요Atmel사의 89C51칩을 사용하여 만든 KUT51 키트를 이용하여디지털 시계LCD에 표현하도록 어셈블리어로 프로그램을 작성한다.외부 인터럽트를 이용하여 시계 및 알람을 ... 작품 결과외부 인터럽트 0, 1을 이용하여 시계를 설정하여 AM/PM, 시각, 분, 초를 LCD에 표시하고 알람 설정시 알람세팅 시간과 현재 시계의시간이 일치하면 P1포트의 LED를
    리포트 | 10페이지 | 2,000원 | 등록일 2007.01.16
  • LED와 ELD의 발광 메커니즘
    LED의 응용분야1969년 붉은색 LED가 상용화되어 알람시계 등에 쓰인 후, 1993년 청색LED, 1995년 LED가 개발된 후 LED는 바야흐로 21세기의 빛으로 주목받기 시작했다 ... LED (light emitting diode : 발광다이오드 디스플레이)수광형LCD (liquid crystal display : 액정 디스플레이)ECD (electrochemical ... LCD백라이트의 광원과 키패드의 광원으로 가 본격적으로 채택되기 시작하면서 현재는 1년에 30억개의 LED가 휴대폰 화면용으로 사용된다.가전제품, 음행제품, 카메라 등의 다양한 제품에
    리포트 | 14페이지 | 2,500원 | 등록일 2011.04.11
  • 마케팅 사례분석 `갤럭시S`
    LCD TV, LED TV , 반도체 매출액은 세계 1위를 자랑한다. ... 초기에는 주로 컬러 TV·전자계산기·전자손목시계·전자식 금전등록기 등 전자제품과, 냉장고·에어컨디셔너·세탁기 등 가전제품류, 통신장비류를 제조·판매(수출)하였다. ... 세계 LCD 패널 시장에서는 8년 연속 시장점유율 1위를 기록하고 있다.2. 기업선정이유'삼성'이라는 기업은 우리나라를 운 시대가 도래한 것만 같다.
    리포트 | 8페이지 | 4,000원 | 등록일 2011.07.09 | 수정일 2016.12.26
  • [A+평가자료] 유기EL의 특징과 현재시장과 미래전망
    증착박막형 AC 구동 ELD 개발(ECD)(VFD)(PDP)(LCD)(PDP)(ELD)197071. TN형 LCD 방식 발표72. 액정시계, 액정 전자계산기 실용화73. ... ECD 시계의 상품화84 ?액정 color TV의 상품화?????대화면 표시 발광소자의 개발87 ? ... LCD 발견97 ?브라운관의 발명(LCD)(CRT)190023 ?SiC 주입형 발광현상 발견35 ?세계 최초 TV 방송 개시36 ?
    리포트 | 37페이지 | 1,500원 | 등록일 2008.03.30 | 수정일 2017.04.06
  • [자기소개서]2010년 지금까지 경험하지 못했던 자기소개서 2편
    VHDL 설계 프로젝트에서도 저만의 아이디어를 더한 디지털시계를 만들었습니다. ... 전자회로 설계과목에서는 LCD와 Fan을 이용하여 온도가 LCD에 나타날 수 있도록 프로그래밍 하였고, 특정 온도 이상이 되면 Fan이 돌아가 다이오드를 식히게 되어 다시 특정 온도 ... 이하가 되면 Fan이 자동으로 멈추게 되는 저만의 독창적인 LCD온도계를 만들었습니다.
    자기소개서 | 11페이지 | 3,000원 | 등록일 2010.05.04
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:44 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대