• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(843)
  • 리포트(803)
  • 자기소개서(27)
  • 시험자료(8)
  • 논문(4)
  • 방송통신대(1)

"LCD시계" 검색결과 381-400 / 843건

  • 삼성전자 기업분석 및 사례연구 (휴대전화 분야 중심으로)
    제품 디자인, 고급스러움, 그리고 이와 맞물린 고가 전략이 시장에 적중한 까닭이다.그리고 2002년 스페인 잡지 GQ 4월호에는 삼성의 A300 듀얼 폴더 휴대폰이 까르띠에 손목시계 ... 이처럼 삼성전자는 꾸준한 노력을 통하여 최첨단 IT 제품과 기타 생활가전에서 반도체와 LCD에 이르기까지 종합 전자업체로서의 글로벌 브랜드를 구축하게 되었다.01-1-02. ... 삼성전자는 에어컨, TV,LCD, MOBILE, 냉장고, 세탁기 등 세부 분야에 따라서 세계 각국에 알맞은 적지에 현지 법인 및 공장을 설립하고 생산 및 판매를 통하여 이윤의 극대화를
    리포트 | 53페이지 | 3,500원 | 등록일 2011.03.25
  • 디스플레이 표준화
    1973년에 전자계산기, 전자시계에 적용.? 1986년 이후 STN LCD와 소형TFT LCD가 실용화.? 일종의 광스위치 현상을 이용한 소자.? ... 전자시계, 전자계산기, 액정TV, 노트북 PC 등 전자제품에서 자동차, 항공기의 속도표시판 및 운행 시스템 등에 폭넓 게 사용. ... 소형 컬러TV에서부터 산업용 제품과 컴퓨터 등에 이르기까지 광범위하게 활 용될 것으로 예상.6.LCD(Liquid Crystal Display)액정 디스플레이 [STN-LCD][TFT-LCD
    리포트 | 4페이지 | 1,000원 | 등록일 2007.10.31
  • 융합산업 창업계획서
    LCD display panel control 3. TFT-LCD control4. ... 차량간 거리를 토한 스마트크루즈 시스템- Atmega128 기반 : 초음파 센서를 이용한 자동주차 시스템- pic16f873 기반 : 라인트레이서- pic16f84 기반 : 알람시계 ... 차량간 거리를 토한 스마트크루즈 시스템- Atmega128 기반 : 초음파 센서를 이용한 자동주차 시스템- pic16f873 기반 : 라인트레이서- pic16f84 기반 : 알람시계
    리포트 | 5페이지 | 3,000원 | 등록일 2010.05.01
  • Graphene
    기존 실리콘 반도체를 대체하거나 휘어지는 액정화면이 가능해 손목 시계형 등 다양한 모양의 휴대전화를 만들 수 있다. ... 그 이유 중 하나는 액정표시장치(LCD) 등 평면 디스플레이를 만드는 데 쓰이는 산화인듐주석의 나날이 치솟는 가격 때문이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2010.05.10
  • 디자인 구매동기유발과 유비쿼터스디자인
    꺼지는데, 알람이 꺼짐과 동시에 가운데 부분의 LCD창으로 현재 시간을 보여준다.5. ... 알람시계 +카펫이 카펫은 알람시계의 역할도 할 수 있다.특히 알람을 끄는 방법이 다른 알람시계들이 버튼을 눌러서 끄는 것과 달리 이 카펫은 오로지 사람의 무게로 카펫을 눌러러야 알람이
    리포트 | 33페이지 | 1,500원 | 등록일 2011.03.23
  • 안경디스플레이 HMD
    DLD에서는 인체가 감지할 수 있는 시계의 모든 영역에 대한 영상 정보를 모두 망막에 비쳐주므로 실제 눈으로 보고 있는 듯한 착각을 일으킬 수 있는 혁신적인 방식이라 할 수 있다시계제한을 ... “콘솔게임기”내장된 2개의 LCD가 한눈에 하나씩 담당하여 양쪽 시야에 동시 투영되면서 고화질의 넓은화면을 구현함HMD의 기본원리는? ... 엔지니어 눈앞에 달린 투명한 LCD에 외부의 컴퓨터에서 보내온 도면을 보여준다현재 HMD가 쓰이고 있는곳은?현재 HMD가 쓰이고 있는곳은?
    리포트 | 26페이지 | 2,000원 | 등록일 2007.11.30
  • Project 개발 계획서 결과 보고서 - ATmega128칩을 사용한 디지털 온도계
    디지털온도계를 제작하면서 온도의 출력방법에도 LCD, 7-Segment, LED등 여러 종류가 있었으나 가장 보편적인 출력방법인 7-Segment로 결정하게 되었습니다.2. ... 온도계 이외의 기능으로 습도계와 알람시계를 추가해보려고도 하였으나 이것 저것 작업하면서 한가지의 기능을 구현하는 것도 생각보다 힘들다는 것을 알게되어 단순하지만 온도계라는 기능 한가지만을 ... 온도계 이외의 기능으로 습도계와 알람시계를 추가해보려고도 하였으나 이것 저것 작업하면서 한가서 16MIPS 이상의 성능을 가진다- 2 cycle 곱셈기를 내장하고 있다○ 비휘발성 프로그램과
    리포트 | 18페이지 | 3,000원 | 등록일 2010.01.28
  • 옥션과 지마켓의 비교분석
    /패션잡화.전체10%3%.쥬얼리/시계.전체10%3%..화장품/향수.전체6%3%.스포츠/레저.전체10%3%카테고리낙찰 수수료율대분류중분류50만원이하50만원초과.출산/완구. ... 낙찰 수수료 비교※ 물품 카테고리별 낙찰수수료율 (낙찰금액 기준)낙찰 수수료율대분류중분류50만원이하50만원초과.컴퓨터.전체카테고리8%3%.데스크탑세트6%3%.데스크탑본체.브랜드PC.LCD모니터.CRT모니터.노트북3%
    리포트 | 8페이지 | 1,000원 | 등록일 2013.08.04
  • 햅틱에 대한 분석
    UI 는 이용자가 쉽게 다루도록 서브 메뉴가 거의 없고 , 갖가지 상황에 맞춰 여러 느낌의 진동이 울리고 윈도 비스타의 사이드바에 위젯을 넣고 빼듯이 휴대폰 바탕 화면에 달력이나 시계 ... 터치 메뉴 화면을 제공DMB Service 22 가지 진동과 UI Full Touch Screen Haptic’s Function 3.0 이상의 WQVGA(240*432) TFT LCD ... 소비 전력도 LCD 와 비교해 최대 66% 가량 줄일 수 있다 .Promotion Place Product Price Haptic’s 4P’s 2009 1 분기 삼성전자 영업이익 4700
    리포트 | 33페이지 | 1,000원 | 등록일 2010.03.27
  • 베스킨라빈스 마케팅과 전략제안 PPT
    거시환경분석 사회적 환경 경 제 적 환경 문화적 환경 기 술 적 환경품질 100% 천연원료를 사용 각 원료마다 시계 에서 가장 질 좋은 생산지의 것을 사용 →품질 우선주의 (2) 가격 ... 면을 감안하여 효율적인 신제품 개발 및 출시가 요구됨 (4) 제조 기술 부문 유지 1) BR Korea 의 아이스크림 자체 개발을 하여 활발한 역수출을 꾀하여야 함 2) 매장용 LCD
    리포트 | 24페이지 | 4,300원 | 등록일 2012.11.08
  • 전자종이 제안서 ppt
    시장동향Seiko Epson 사와 Seiko 사는 2005년 4월 전자 종이를 이용한 손목시계를 선보임 Philips는 E-ink 와 공동 개발하여 2005년 3월QVGA (320x ... 성, 높은 해상도 플라스틱, 금속, 종이 등 의 어떠한 기판 상에서도 구현 경량화,원가절감, 넓은 면적에도 구현 가능짧은 수명 시간.현재 수명 시간 1만~2만시간 (평균 TFT LCD ... 연구 방법기술 개발 case1 - 디스플레이 품질이 가장 우수한 투과형 Color TFT-LCD를 플렉서블한 형태로 구현Dankook University Electronics5.
    리포트 | 14페이지 | 4,500원 | 등록일 2009.04.26
  • 브랜드 자산 관리) 브랜드 가치의 중요성과 브랜드가치 및 브랜드 인지도의 제고 방안
    정확한 시계하면 스위스 시계 하는식이다.특정 제품의 품질에 대한 인식은 그 브랜드에 대해 갖고 있는 소비자의 신뢰성으로 시장에서의 성공을 결정하는 중요한 요인의 하나이다. ... 한국 기업이라는 응답은 12%뿐이었다.한국 제품의 평가가 기대보다 낮은 것은 유럽 등지에서 명품으로 자리를 잡아가는 삼성전자, LG전자의 휴대전화, LCD-TV 등이 한국산이라는 인식을
    리포트 | 6페이지 | 1,500원 | 등록일 2011.07.07
  • ipod 아이팟 국내진입 마케팅
    , 음악을 곁들인 슬라이드쇼 최대5시간최대8시간최대12시간배터리수명2인치(대각선) 65,000색상 LCD1.67인치(대각선) 그레이스케일 LCD2인치(대각선) 그레이스케일 LCD디스플레이181g103g158 ... Click Wheel로 음악과 사진을 빠르게 검색, 프로젝터나 TV에 연결하여 음악과 함께 슬라이드쇼 감상, 보이스 레코딩, 파일 저장, 사진 저장, 텍스트 노트 리더, 게임, 알람시계 ... , 스케줄 관리,달력 등최대 1000곡 휴대가능, Apple Click Wheel로 빠른 음악 검색, 텍스트 노트 리더, 파일 저장, 게임, 알람시계, 달력, 스케줄 관리 등최대 10000곡
    리포트 | 18페이지 | 1,500원 | 등록일 2008.06.24
  • 구두 시장조사 및 신제품 개발 과제_시장조사 아이디어 경제성 평가 등등
    시장조사 결과 설계 목적계통도 목 차무 중량 가방 , 스크린 종이를 이용한 휴대용 책 비용이 많이 듦 로켓 신발 , 가방 내의 상태가 무중력인 가방 현실성 부족 퐁퐁 신발 , 호신용 시계 ... 함 간편성 : 별도의 복잡한 장치 없이 간단하게 굽 조절이 가능해야 함 설계 제한조건기존 하이힐 조사 △ 굽이 무거운 하이힐 ◁ 자동차 하이힐 △ 장미향이 나는 하이힐△ GPS LCD
    리포트 | 29페이지 | 2,000원 | 등록일 2011.09.09
  • 디코더와 멀티플렉서
    , Liquid Crystal Dispaly)- 주변 빛이나 back-lit에 의하여 빛의 반사- 저전력 소모 응용 : 계산기, PDA, 시계, 휴대계측기, 이동전화기- AC 동작 ... LED or LCD 소자들로 구성 ⇒ LED는 Diode 와 동일한 특성을 가짐. ... ④ 디코더 응용⑤ 7 - segment decoder⑥ 액정 표시기(LCD, Liquid Crystal Dispaly)■ 디코더가 뭐야?
    리포트 | 15페이지 | 1,000원 | 등록일 2008.05.07
  • [기업분석] 스마트폰을 중심으로 한 삼성전자와 애플의 기업 비교 분석
    CEO 리더십 스타일인재주의:한 명 잘못 고용하면 회사 전체에 손해를 끼치지만 유능한 사람은 평범한 사람 50명이 해야 할 일을 혼자서 해낸다고 생각했다.완벽주의:시계의 장인 정신보다 ... 삼성전자의 사업분야삼성전자기술디지털 미디어정보통신반도체LCD서비스영상디스플레이프린터생활가전무선네트워크컴퓨터메모리..PAGE:212. ... 2) 멕시코 티후아나 전자 복합단지 준공8.새 천년을 향한 전진기 (1997~1998)1)세계 최소형 CDMA 휴대폰 개발 2)256메가 SD램 반도체 세계 첫 생산 3)TFT-LCD
    리포트 | 59페이지 | 3,000원 | 등록일 2011.04.25
  • 임베디드 AVR(ATmega128) 프로젝트 제안서 결과리포트 - ATmega128칩을 사용한 디지털 온도계.hwp
    온도계 이외의 기능으로 습도계와 알람시계를 추가인- 64개의 TQFP와 64패드 MLF○ 동작 전원- ATmega128L : 2.7V ~ 5.5V- ATmega128 : 4.5V ~ ... 비동기 통신 터미널 기능 내장○ 생성된 COFF파일은AVR Studio를 사용하여 소스 레벨 디버깅 가능◎ 표준 라이브러리 외에 아래의 여러 가지 주변 소자 라이브러리 포함○ 문자형 LCD
    리포트 | 24페이지 | 3,000원 | 등록일 2012.09.29
  • 평생 재무설계안 리포트
    정도 / 부페:축의금으로 후불②예단현금예단비 300만원(꾸밈비를 돌려주신다면 500만원)현물예단은 황금보자기 방짜유기 칠첩 반상기세트 : 64만원③예물커플링:60~90만원 또는 커플시계 ... (펜디-면세점 구입시):120~150만원④신혼여행유럽 자유 해외여행: 인당 250~300만원(계:500~600만원)⑤혼수TV(파브 LCD 42"):60 / 냉장고(지펠 양문형):80만장농
    리포트 | 4페이지 | 1,000원 | 등록일 2011.12.01
  • GPS에 대하여
    데이터베이스를 이용해서 코너를 도는데 있어 안전한 속도를 계산하거나 그에 따라 속도를 조정할 수 있는 자동변속 장치를 개발했다.자동항법시스템은 GPS기술과 전자나침반, 네비게이션, LCD모니터 ... GPS의 모든 신호는 위성에 장착된 세슘 원자시계에 의하여 발생되는 기본주파수 10.23MHz를 기준으로 하여 만들어진다. ... 불리 우며 특별히 허락받지 않은 개인이나 단체도 이용할 수 있으나, P 코드는 신호의 암호화가 이루어지므로 이를 이용하기 위해서는 허가가 필요하다.위성의 항법메시지 신호에는 위성 시계
    리포트 | 28페이지 | 2,000원 | 등록일 2010.06.05
  • VHDL Digital Watch(LCD) VHDL PPT입니다.
    Proposal목 차Work Plan Roles of Members Intended Functionalities Brief DesignWork Plan(1/2)1주차(5.19 ~ 25) LCD ... Interface 자료 수집 VHDL 사이트 정보 수집 Digital Watch 자료 수집(문헌 및 인터넷) 2주차(5.26 ~ 6. 1) LCD Interface source code ... 표현 방식 및 버튼 기능AM. 11 : 55 : 23 2008. 05. 15年 조정月 조정日 조정LCD 예상 표현도Brief Design(3/8)동작 설명AM. 12 : 00 :
    리포트 | 14페이지 | 2,000원 | 등록일 2008.09.28
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:27 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대