• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,466)
  • 리포트(6,641)
  • 시험자료(280)
  • 자기소개서(183)
  • 방송통신대(158)
  • 논문(155)
  • 서식(23)
  • ppt테마(17)
  • 이력서(9)

"logic" 검색결과 361-380 / 7,466건

  • 마켓컬리 비즈니스 프로세스 분석
    TO-BE 모델 개발 문제점 프로젝트 목표 UML Class Diagram UML Activity Diagram Process Dictionary Fact 분석 벤치마킹 개선과제 Logic ... 배송지연 정도에 따른 보상기준 마련 ( 고객에게 적립금 제공 ) 배송지연 시 환불 / 재주문 선택 기능 제공 배송지연 원인 파악 및 안내 재주문 기능 제공 05 TO-BE 모델 개발 Logic
    리포트 | 19페이지 | 2,500원 | 등록일 2022.01.12
  • 설비전기제어실험 리포트
    아래 시퀀스제어의 전문용어에 대하여 의미를 파악하라.1) 개회로와 시퀀스제어와의 관계2) PLC()programmable logic controller)3) 유접점 종류4) 무접점 ... 제어계는 미리 정해 놓은 순서에 따라 제어의 각 단계를 순차적으로 진행시키는 것으로 시퀀스 제어(sequential control) 라고도 한다.2) PLC(programmable logic ... 전자계전기에 의하여 구성되는 시퀀스를 접점을 가진 기기를 사용한다.전기적 신호를 사용하는 제어 방식은 릴레이, 타이머, 카운터가 있다.4) 무접점 시퀀스제어무접점 제어는 로직 시퀀스(Logic
    리포트 | 6페이지 | 1,000원 | 등록일 2020.09.14
  • 4주차 결과 - 논리 게이트 및 부울 함수의 구현
    (준비물: SN7400)ABANDORXOR*************1111110ANDORXOR(2) 정 논리(Positive Logic)와 부 논리(Negative Logic)에 대해
    리포트 | 8페이지 | 1,500원 | 등록일 2020.10.01
  • 교육심리학
    ) 다중지능의 종류(1) 상징적 대상과 관련된 지능① 언어적 지능(Linguistic intelligence)② 음악적 지능(Musical intelligence)③ 수학적 지능(Logical-mathematical ... 따라 특별히 어느 종류의 지능이 더 높을 수 있으며 서로 다른 종류의 지능이 상호 작용하는 방식도 개인과 문화에 따라 또한 차이가 날 수 있다담당하고 있다는 것이다.③ 수학적 지능(Logical-mathematical
    방송통신대 | 10페이지 | 3,000원 | 등록일 2022.04.07 | 수정일 2024.02.11
  • 다중지능이론
    논리ㆍ수학 지능 (logical-mathematical intelligence)숫자를 효과적으로 사용하는 능력 및 추론을 잘하는 능력을 말한다.
    리포트 | 3페이지 | 2,500원 | 등록일 2020.11.01
  • 다중지능이론이 영유아 수학교육에 기여하는 점과 보완해야할 점 서술
    다중지능이론이란1) 언어적 지능(Linguistic Intelligence)2) 논리-수학적 지능(Logical-Mathematical Intelligence)3) 공간적 지능 (Spatial ... 이 지능 또한 논리-수학적 지능과 더불어 전통적인 지능검사에서 측정되던 주된 영역으로 좌뇌의 측/전두엽에 존재한다.2) 논리-수학적 지능(Logical-Mathematical Intelligence
    리포트 | 7페이지 | 2,500원 | 등록일 2023.01.27
  • u Processor 설계
    코드 및 설명출력 결과ALU (Arithmetic and Logic Unit)--------------------------p.12ALU 란? ... ALU란 Arithmetic and Logic Unit의 약자로서 말 그대로 산술연산, 논리연산 및 시프트를 수행하는 중앙처리장치 내부의 회로 장치이다. ... 이번 Processor 에서는 4개의 Register를 중간 결과를 일시적으로 기억해두는 레지스터로 사용한다.코드 및 설명출력 결과ALU (Arithmetic and Logic Unit
    리포트 | 18페이지 | 2,000원 | 등록일 2020.10.05
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    https://en.wikipedia.org/wiki/Adder_(electronics)Stephen Brown, Zvonko Vranesic/Fundamentals of Digital Logic
    리포트 | 10페이지 | 1,000원 | 등록일 2022.03.03
  • 논리회로및실험 레포트
    실습내용:--COUNT_8BIT.VDLIBRARY IEEE; --IEEE라는 라이브러리를 사용함USE IEEE.STD_LOGIC_1164.ALL; --STD_LOGIC_1164안에 ... 정해진 타입이나 함수사용USE IEEE.STD_LOGIC_UNSIGNED.ALL; --STD_LOGIC_UNSIGNED안에 정해진 타입이나 함수사용ENTITY COUNT_8BIT IS ... RESETN 입력으로 선언CLK:IN STD_LOGIC; --CLOCK BUTTON, CLK 입력으로 선언COUNT_OUT:OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
    리포트 | 4페이지 | 1,000원 | 등록일 2024.07.14
  • [A+결과레포트 전자회로설계실습]11. BJT와 MOSFET을 사용한 구동회로
    그리고 function generator의 TTL단자(transistor-transistor logic)를 이용하여 LED구동회로의 입력전압으로 인가하였다.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.11.26
  • 정보처리기사요약(2.전자계산기구조)
    논리회로의 분류1) 조합논리회로(Combinational logic circuit)― 회로의 출력 값이 입력 값에 의해서만 정해지는 논리회로로서 기억능력이 없다. ... 전자계산기구조제 1 장 논리회로(Logic Circuit)― 2진 정보를 기반으로 AND, OR, NOT 등과 같은 논리 연산에 따라 동작을 수행하는 논리소자들을 사용하여 구성된 전자회로 ... (반가산기, 전가산기, 디코더, 엔코더, 멀티플렉서, 디멀티플렉서)2) 순서논리회로(Sequential logic circuit)― 회로의 출력 값이 내부상태와 입력에 따라 정해지는
    시험자료 | 16페이지 | 3,500원 | 등록일 2021.05.24
  • 1. 가드너(Gardner)의 다중지능이론을 설명하고, 그 교육적 시사점을 논하시오. (15점 만점)
    다지능이론과 그 교육적 시사점1) 가드너의 다중지능이론① 언어적 지능(linguistic intelligence)② 음악 지능(musical intelligence)③ 논리-수학적 지능(logical-mathematical
    방송통신대 | 11페이지 | 4,500원 | 등록일 2021.01.22
  • [논리회로실험] 가산기&감산기 예비보고서
    실험목적1) Logic gate를 이용해서 가산기와 감산기를 구성한다2) 디지털 시스템의 기본 요소인 가산기와 감산기의 기본 구조 및 동작원리를 이해한다.2.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.04.06 | 수정일 2023.03.29
  • Semiconductor Device and Design - 11__
    Eggleston [2] https://www.electronics-tutorial.net/Programmable-Logic-Device-Architectures/Programmable-Logic-Devices ... Layout, Operating principle, Structure of the Control sub system PLA (Programmable Logic Array)1. ... Layout, Operating principle, Structure of the Control sub system PLA (Programmable Logic Array)1.
    리포트 | 14페이지 | 2,000원 | 등록일 2023.06.22
  • 부경대 전자공학과 디지털시스템설계 수업과정 코드들
    ieee;use ieee.std_logic_1164.all;entity mux41 isport ( s1, s0 : in std_logic;d3, d2, d1, d0 : in std_logic ... 1. and-vhdllibrary ieee;use ieee.std_logic_1164.all;entity and_vhdl isport ( A, B : in std_logic;Y : ... ;y : out std_logic );end mux41;
    리포트 | 35페이지 | 3,000원 | 등록일 2022.03.21 | 수정일 2022.04.12
  • 디지털설계 실습보고서
    소스코드library IEEE; //VHDL에서 사용할 라이브러리를 지정하는 명령어use ieee.std_logic_1164.all; //ieee라는 라이브러리에서 ieee.std_logic ... _1164라는 이름의 패키지를 가져오겠다는 뜻use ieee.std_logic_unsigned.all; //ieee라는 라이브러리에서 ieee.std_logic_unsigned라는 ... 이름의 패키지를 가져오겠다는 뜻entity ALU isport(Sel : in std_logic_vector(2 downto 0);CarryIn : in std_logic;A, B
    리포트 | 3페이지 | 1,000원 | 등록일 2021.12.28
  • 2023년도 급여 세후지급액으로 세전금액 역산 엑셀계산기
    소득구간의 세액을모두 감안하여 제작하였습니다' '급여역산' sheet 의 '실수령액' 란에 세후 금액을 입력하면 세전 금액이 자동으로 계산됩니다다음 목록으로 구성되어 있습니다소개logic급여계산간이세액표감사합니다성명"공제전급여계산
    서식 | 10,000원 | 등록일 2023.01.16 | 수정일 2023.07.25
  • 서강대학교 디지털논리회로실험 6주차 - Flip-flops and registers
    실험 이론● Sequential logic circuitCombinational logic circuit ; 조합 논리회로에서는 gate들의 조합을 통해 구성된 회로로서, 주어진 입력에 ... 반면에 Sequential logic circuit ; 순차 논리회로에서는 이전의 회로 상태가 다음 출력에 영향을 미치는데, 이는 보통 활성화되는 주기를 가진 clock이라는 신호에
    리포트 | 24페이지 | 1,500원 | 등록일 2024.08.17
  • VHDL를 이용한 FPGA설계 레포트코드해석본
    , reset: in std_logic;allreset : in std_logic;storekey : in std_logic;loadkey : in std_logic;beep : out ... ;signal clk_sound : std_logic;signal clk1 : std_logic;signal clk2 : std_logic;signal clk3 : std_logic ... ;signal clk4 : std_logic;signal clk5 : std_logic;signal clk6 : std_logic;signal clk7 : std_logic;signal
    리포트 | 25페이지 | 2,000원 | 등록일 2021.09.26
  • 3장 진리표 예비
    기본 논리 연산(Logical Operation)논리합OR덧셈 또는 OR연산 이라고 하며 기호는 (+)이다.A + B = C0 + 0 = 00 + 1 = 11 + 0 = 11 + 1
    리포트 | 8페이지 | 1,000원 | 등록일 2021.01.06
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:34 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대