• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(9)
  • 리포트(9)

"부경대 디시설" 검색결과 1-9 / 9건

  • 부경대 전자공학과 디지털시스템설계 수업과정 코드들
    1. and-vhdllibrary ieee;use ieee.std_logic_1164.all;entity and_vhdl isport ( A, B : in std_logic;Y : out std_logic );end and_vhdl;architecture and_2 o..
    리포트 | 35페이지 | 3,000원 | 등록일 2022.03.21 | 수정일 2022.04.12
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;entity traffic_sig..
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 부경대 전자공학과 디지털시스템설계 중간2(디멀티플렉서)
    [시험과제 02] 디멀티플렉서(Demultiplexer, Demux) 설계[수행 및 제출(1)][그림 4-14]와 [표 4-12]같이 동작하는 디멀티플렉서를 설계하시오.이때, 순차문인
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 부경대 전자공학과 디지털시스템설계 중간1(인코더)
    [시험과제 01] 우선순위 인코더(Priority Encoder) 설계[수행 및 제출(1)]8x3 우선순위 인코더의 진리표를 작성 및 완성하시오. [수행 및 제출(2)]앞의 진리표중 하나를 이용하여 우선순위 인코더를 VHDL로 설계하시오. [단, if~end if 구문..
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 부경대 전자공학과 디지털시스템설계 과제(수의 정렬)
    [과제 03] 수의 정렬 회로(Sorting Circuit)에 대한 설계[수행 및 제출(1)]수의 정렬회로를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all;package use_package is constant sort..
    리포트 | 10페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 부경대 전자공학과 디지털시스템설계 기말1(패리티 검사기)
    [시험과제 04] 패리티 검사기 설계[수행 및 제출(1)]짝수 패리티 비트가 포함된 8비트를 입력받아 오류가 없으면 ‘0’을 출력하고 오류가 발생하면 ‘1’을 출력하는 패리티 검사기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_116..
    리포트 | 4페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 건축설비 (기숙사 설비 사례)
    7% 절감 전기 사용요금 비교 계약전력 120Kwh 계약전력 90Kwh 25% 절감 수압비교 고층수압민원발생 변경후 사라짐 – 급수 설비 리모델링시 부스터방식적용관한 사례연구 , 부경대 ... 단국대하교 웅비홀 설비 시설 조사학교 내부 건물 중 학생들의 생활 공간인 기숙사를 Study 를 해 봄으로써 기숙사라는 특별한 시설이 office 건물이나 일반 주거용 건물과 설비적측면에서 ... 건축물에 한정되지 않고 널리 사용되고 있다 .급탕 방식 - 중앙 공급방식 :디든지 급탕 할 수 있다 .
    리포트 | 47페이지 | 4,500원 | 등록일 2019.02.15
  • 홈플러스 vs 메가마트 각 분석과 전략 수립 과정
    (메가마트 남천점는 입지적으로 광안리와 주변의 신 아파트 주거단지, 부경대,경성 대로 인한 매우 유리한 조건을 갖추고 있다. ... 그 중 학교의 위치와 가까운 홈플러스 감만점을 선택하였다.대형 할인마트 업계 2위의 홈플러스가 모든 지역 점포에서 확실한 자리를 잡고 있는 것은 아니다. ... 기업현황99년 5월 영국최대 유통기업 테스코와 삼성이 합작한 삼성테스코는 후발업체라는 핸디캡을 딛고 3년 만에 할인점 2위로 등극한 유통업계의 다크호스다. 99년 2개점으로 출발하여
    리포트 | 12페이지 | 2,000원 | 등록일 2008.07.11
  • 부산혁신도시 조사
    부산 혁신도시 - 건설구상혁신지구별 기본구상안≫ 공동주거지구 [군수사령부 이전부지]지 구 여 건▪ 경성대, 부경대와 인접 우수한 교육 여건 ▪ 도심에 위치, 풍부한 인프라와 생활편익시설 ... 이전계획 수립 용역 완료▪ 영화 ∙ 영상관련 공공기관 이전 ▪ 부산영화종합후반작업시설, 부산문화콘텐츠 콤플렉스 건립 ▪ 부산국제영상센터 건립대구대학교 도시 및 지역계획학과 부산 혁신도시2 ... ·한국해양연구원) - 바다 이미지를 활용한 보행동선유도대구대학교 도시 및 지역계획학과 부산 혁신도시2.
    리포트 | 30페이지 | 10,000원 | 등록일 2007.11.30 | 수정일 2022.09.03
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 19일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:43 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기