• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,706)
  • 리포트(2,453)
  • 자기소개서(86)
  • 시험자료(77)
  • 방송통신대(77)
  • 논문(9)
  • 서식(3)
  • 이력서(1)

"디지털시계만들기" 검색결과 21-40 / 2,706건

  • <<AVR 스탑워치 만들기>>AVR스탑워치,초시계,디지털시계,atmega128,회로도,소스코드,동작원리,스톱워치,타이머카운터,세그먼트,segment,타이머
    ['[AVR 스탑워치 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. ... 때문에 AVR의 Timer/Counter 기능을 이용하여 1/100 Sec 즉, 100hz의 주파수를 만들어 줄 필요가 있다.
    리포트 | 11페이지 | 4,000원 | 등록일 2015.10.25 | 수정일 2017.04.20
  • [전자회로] 디지털 시계 만들기
    74LS47, 74LS90, 555칩을 이용하여 제작한 시계입니다시, 분, 초 가 나오구요...reset, start/stop, 시, 분 조정 스위치 만들어져있습니다.압축파일을 열어보시면
    리포트 | 2페이지 | 2,000원 | 등록일 2002.11.26
  • [opengl] opengl을 이용한 디지털/아날로그 시계만들기
    ; // 정점(420, 420)glVertex2f(80., 420.); // 정점(80, 420)glVertex2f(80., 30.); // 정점(80, 30)glEnd();// Digital ... 정점(370, 110)glVertex2f(140., 110.); // 정점(140, 110)glVertex2f(140., 50.); // 정점(140, 50)glEnd();// 시계 ... GL_ALL_ATTRIB_BITS);glutSwapBuffers();glClearColor(0.3f, 0.3f, 0.3f, 1.0f);glClear(GL_COLOR_BUFFER_BIT);// 시계
    리포트 | 8페이지 | 1,000원 | 등록일 2003.12.29
  • [전기전자전파공학전공]VHDL으로 디지털 시계 만들기 - 카운터
    서론- 설계 목적① Digital clock의 동작 원리를 이해한다.▷ 디지털 시계 개요주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 ... 정보통신공학부프로그램 명전파공학 프로그램성 명김영훈학 번20021100교과목 명디지털 논리회로년도 / 학기2005/2교과목 코드51956담 당 교 수김기만 (교수님)제 목Digital ... 이와 같은 디지털시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자.
    리포트 | 11페이지 | 1,000원 | 등록일 2005.12.10
  • 마케팅원론 ) 디지털제품과 일반 아날로그 제품을 선택해서 신제품개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오.
    그러나 시계 자체는 장인에 의해 정교하게 만들어진 만큼 정기적인 체크를 한다면 거의 평생 사용할 수 있다고 보아도 무방하다. 반면 디지털시계의 경우는 클래식과는 다르다. ... 고장이 날 때까지가 수명인데, 이는 시계를 만드는 기술력에 따라 크게 달라질 수 있다. ... 이들이 선보이는 디자인은 대부분이 클래식 시계 디자인으로 나오며, 현재도 스위스 시계 장인이 만드는 방법을 고수하고 있다.두 가지 시계 모두 시계로서의 시간을 알려주는 기능은 완벽하게
    리포트 | 5페이지 | 5,000원 | 등록일 2023.12.28
  • term project - ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계
    표시는 1학기 때 계산기 구현 프로젝트 진행 경험 덕분에 크게 어렵지 않았다.디지털 시계의 기능을 위하여 clock 신호를 만들어내는 것이 핵심이었다. 7404와 가변저항 커패시터를 ... 지금은 디지털 시계, 손목 시계 등 우리 일상에서 쉽게 구할 수 있는 물품이지만 시계는 하루 동안의 시간 변화를 객관적으로 나타내기 위한 우리 일상의 중요한 장치 중 하나이다.디지털 ... 름학 과전자공학과ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계0.
    리포트 | 14페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 디지털제품과 일반 아날로그제품을 선택해서 신제품 개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오. 할인자료
    또한 가장 중요한 시험이라고 할 수 있는 수능에서도 아날로그 시계만 수험장에 반입이 되고, 디지털 시계는 시험을 보기 전 감독관선생님께 제출해야 된다는 주의사항정도는 자주 들어서 아는 ... 예를 들어서 디스플레이, 렌즈, 이미지 센서 등이 여기에 해당하고 있다.제조 및 조립: 구매한 부품들을 조립하여 완제품으로 만드는 단계다. ... 카메라와 아날로그 카메라의 신제품 개발 과정의 공통점과 차이점공통점기획 단계: 어떤 기능과 특징을 갖춘 카메라를 만들 것인지를 결정하는 단계로 시작된다.
    리포트 | 3페이지 | 2,000원 (5%↓) 1900원 | 등록일 2023.10.19
  • 디지털제품과 일반 아날로그제품을 선택해서 신제품 개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오
    디지탈 손목시계는 시간을 표시하는 부분에 발광다이오드나 액정을 사용한다. ... 디지털과 아날로그디지털(digital) 사전은 손가락을 나타내는 라틴어 숫자(digit)에서 유래했다는 뜻이다. ... 이를 온라인 사진 동호회에 올리면 자연 풍경과 인간이 만든 인공물도 디지털로 변환된다. 이때 보이지 않는 작가의 생각과 행동의 결과도 디지털 제품의 원료가 된다.
    리포트 | 7페이지 | 2,500원 | 등록일 2023.04.26
  • 디지털공학개론(1. 카운터의 응용으로 디지털 시계의 회로도를 완성해 가는 과정 설명/ 2.4가지 기본형 레지스터의 분류에 속하는 IC들 정리)
    증폭회로의 출력을 입력측으로 되먹임하여 외부의 입력 없이 전기진동을 발생시켜 교류파형을 얻을 수 있다.카운터 응용으로 디지털 시계를 만드는 과정은 아래와 같이 3가지가 있다.1) 가정용 ... 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정 설명2. 4가지 기본형 레지스터의 분류에 속하는 IC들 정리1) 카운터의 응용으로 디지털시계의 회로도 과정 설명디지털 시계? ... 아래의 디지털시계의 블록 다이어그램으로 구성할 수 있다.
    리포트 | 8페이지 | 2,500원 | 등록일 2023.01.17 | 수정일 2024.05.14
  • SK하이닉스 SW(소프트웨어) 직군 합격 자기소개서 (5)
    일반적인 디지털시계는 마이크로프로세서에 구현되어있는 타이머기능을 사용하지만 저는 직접 만든 타이머를 사용하여 디지털시계를 구현해야 했습니다. ... 프로젝트의 주제는 마이크로프로세서를 활용하여 디지털 온도계를 제작하는 것이었고, 저는 온도계 기능에 디지털시계의 기능을 추가하여 스위치로 시계, 알람, 온도계 모드를 전환하여 사용할 ... 하지만 저는 시계기능과 온도계기능을 동시에 사용하고 싶었고 두 기능이 충돌하지 않도록 타이머기능을 새롭게 만든 것입니다.타이머기능을 만들기 위해서 기존의 타이머기능인 1초를 세는 코드를
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.02.06
  • 디지털제품과 일반 아날로그제품을 선택해서 신제품 개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오(A+)
    디지털과 아날로그디지털(digital)의 사전적인 뜻은 손가락을 뜻하는 라틴어 디지트(digit)에서 유래된 말이다. ... 그것을 온라인 사진 동호회에 디지털 파일로 올리면 자연 풍광과 더불어 인간이 만든 인공물도 디지털로 전환된다. ... 아날로그 시계들은 내부에 태엽과 기어로 시계를 돌리고 시간을 맞추는데 반면, 디지털 시계는 저절로 숫자가 뜬다.
    리포트 | 7페이지 | 2,000원 | 등록일 2022.10.02
  • [A+레포트] 마케팅원론_디지털제품과 일반 아날로그제품을 선택해서 신제품개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오._231213
    그리고, 제품개발 단계에서는 실제 제품을 생산하기 위한 기술적인 측면들이 다루어지며, 제품의 형태가 만들어집니다. ... 목표, 특성, 목표 시장을 세부적으로 정의하고 프로젝트를 시작하기 위한 기준을 마련합니다.다음으로, 설계단계에서는 세부적인 디자인 및 스펙을 결정하고, 기본적인 시제품이나 모형을 만들어 ... 반면에 아날로그 제품은 자연스러운 음향이나 이미지를 전달하는 데 강점을 가지고 있습니다.각 제품의 제품수명주기(아이폰15 vs 수능시계)아이폰15과 수능시계는 제품수명주기 이론에서
    리포트 | 4페이지 | 2,500원 | 등록일 2023.12.13
  • 커뮤니케이션미디어 정리 요약본
    디지털 시계의 경우 일정 기간 숫자만 표시해 줌으로써 분까지 나타내주는 시계라면 초의 개념을 무시하는 불연속적 신호를 나타낸 후 사람은 시계가 판단 시간을 보고 시간을 알게 된다.이를 ... 예를 들면 인쇄의 발달로 인해 조선시대에 활자를 만들어 같은 내용의 메시지를 반복하여 만들어 냈듯이 활자가 복사기 역할을 하여 대량의 메시지를 다수의 사람들에게 전달되게 해주었다.전파 ... 정리하면 아날로그 시계는 원운동을 통한 연속적 신호를 통해 사람이 주체가 되어 시간을 판단하지만 디지터 시계는 분 표시 시계의 경우 분까지만 표시하는 불연속적 신호를 통해 시계
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.29
  • sk하이닉스 자소서 (참고용)
    일반적인 디지털시계는 마이크로프로세서에 구현되어있는 타이머기능을 사용하지만 저는 직접 만든 타이머를 사용하여 디지털시계를 구현해야 했습니다. ... 저는 온도계 기능에 디지털시계의 기능을 추가하여 스위치로 시계, 알람, 온도계 모드를 전환하여 사용할 수 있도록 구현하는 것을 목표로 잡았습니다. ... 하지만 저는 시계기능과 온도계기능을 동시에 사용하고 싶었고 두 기능이 충돌하지 않도록 타이머기능을 새롭게 만든 것입니다.타이머기능을 만들기 위해서 기존의 타이머기능인 1초를 세는 코드를
    자기소개서 | 2페이지 | 3,000원 | 등록일 2021.03.06
  • 현대오트론 하드웨어직 합격자소서 입니다
    특히 디지털시스템설계 수업에서 Verilog로 DE1-SOC를 조작해 디지털시계를 만든 경험은 회로와 펌웨어 개발에 대한 진로를 명확하게 해준 계기입니다. ... 어려운 상황에 직면했을 때 목표를 가지고 소통함으로써 난관을 극복한 경험이 있습니다.대학교 3학년 때 Velilog를 이용하여 시간, 날짜 등의 기능이 탑재된 디지털시계를 만드는 프로젝트를 ... 많은 어려움 끝에 디지털시계를 완성했고, 팀원 전체가 최종 A 학점을 받는 성과도 이루어냈습니다. '팀장'이 아닌 '팀'이 이루어낸 작품이었습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.12.01
  • 디지털 시계 실험 프레젠테이션
    결과 및 고찰디지털 시계를 만드는 가장 중요한 요점은 clock의 수에 따라 변화하는 카운터와 입력을 받아 출력을 생성하는 디코더, 그리고 입력을 받는대로 led의 불을 켜는 7-Segment가 ... - 디지털 시계디지털 회로 실험개 요1.2.3.4.회로구성시계제작작동원리결과 및 고찰1. ... 디지털 시계를 제작하면서 디지털 시계에 대한 원리와 동작을 알게되었습니다.
    리포트 | 15페이지 | 5,000원 | 등록일 2021.12.06 | 수정일 2022.04.08
  • [디지털공학개론] 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    디지털 시계와 레지스터학번 :이름 :1. ... 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오.카운터는 입력 펄스의 수를 세는 장치이며 대표적인 플리플롭 응용 장치이다. ... 디지털 시계에서 쓰이는 카운터는 enable 제어 신호를 가지고 있는 카운터를 설계해야 한다. enable 제어 신호를 가져오는 이유는 모든 카운터가 하나의 클럭펄스에 동기되어 있어
    방송통신대 | 7페이지 | 3,000원 | 등록일 2021.03.23
  • (인터넷생활윤리) 문제) 디지털 다이어트(디지털 디톡스) 실천하기 및 청소년 지도 방안 마련하기
    예를 들어, 시계를 보고 싶다면 손목시계로, 기타 영상을 보고 싶다면 책을 읽는 방식으로 대체하는 것이다.통계청에서 제안하는 방식은 ‘디지털 기기를 놔두고 움직이는 방법’이다. ... 또한 스마트폰 시계에서 손목시계로 대체하고자 손목시계를 차고 외출하였다. ... 스마트폰 휴요일을 만들면 초반에는 힘이 들 수 있으나, 점차 휴요일에 익숙해지게 되면 자연스럽게 스마트폰과 거리를 두게 될 것이다.3.
    방송통신대 | 8페이지 | 2,000원 | 등록일 2021.01.11 | 수정일 2021.01.12
  • VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder
    그리고 하나의 60진 카운터가 60에 도달하는 것을 계수하는 60진 카운터를 만들면 그것이 분시계다. ... 마찬가지로 분을 표현하는 60진 카운터가 60에 도달하는 것을 계수하는 24진 카운터를 만들면 이것이 시를 표현하는 시계가 되고 모두 합하면 하나의 온전한 시계가 된다.2.소스코드 ... 그리고 24진 카운터를 추가로 만들면 시를 표현할 수 있다. 2x1 MUX를 이용하여 시계의 시간을 바꿀 수 있는 기능을 추가한다.
    리포트 | 22페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 컴퓨터과학 관련 기술들 분석고찰
    비록 SPOT를 중심으로 만들어진 시계는 2008년에 단종되었지만 스마트 시계에 대한 생각은 계속되었다. ... 최근의 스마트 시계 물결은 모든 것을 바꾸는 것을 목표로 한다. 스마트 시계는 기존의 아날로그 시간 추적 장치보다 더 많은 기능을 수행하는 디지털 시계이다. ... 그것은 속도, 풍향, 풍속과 같은 변수를 추적할 수 있는 네비게이터를 위해 특별히 만들어진 특별한 스마트 시계를 가지고 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.05.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:30 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대