• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,706)
  • 리포트(2,453)
  • 자기소개서(86)
  • 시험자료(77)
  • 방송통신대(77)
  • 논문(9)
  • 서식(3)
  • 이력서(1)

"디지털시계만들기" 검색결과 41-60 / 2,706건

  • (과제 A+) 혁신의 종류에 대하여 구체적으로 설명하시오
    롤렉스의 경우 기술의 빠른 발전으로 인해 디지털시계가 도입되었으며, 이에 따라 아날로그 시계를 판매하던 롤렉스의 기존 시계들이 위기에 봉착했다. ... 그 결과 현재 디지털 시계는 보급화 되어 저렴한 가격에 구매를 할 수 있는 반면, 롤렉스는 명품 시계 브랜드로 인식되어 고가의 판매가에도 잘 팔리고 있다.2) 사회적 혁신사회적 혁신이란 ... 굿 윌 스토어는 효성 그룹에서 만든 사회적 기업으로 안 입고 안 쓰는 기증 물품을 판매하여 수익금을 얻고 장애인이나 취약계층의 일자리를 창출하기 위해 만들어졌다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.08.27
  • 제주대학교 창업의 이해 시험 준비 자료
    과정 관리력* 디지털 혁신 시계디지털 혁시이란 가치를 창출하기 위하여 디지털 중심의 사업고 디지털을 통해 기존 사업의 효율성을 높이기 위한 것이라 할 수 있음.즉, 디지털 혁신의 목표는 ... 빨라진 시계질문 1) 여러분들은 한 시간씩 늦어지는 시계와 한 시간씩 빨라지는 시계가 있습니다.어떤 시계를 사고 싶습니까?질문 2) 세상의 시계는 빨라지고 있다는 생각하십니까? ... 일자리 창출- 일반적으로 창업은 그 어떠한 일자리 창출 방안 보다 2배이상의 일자리를 만들어 냄- 창업은 그 지역 경제를 활성화 시킴- 직업의 종류를 다양한 시킴2.
    시험자료 | 101페이지 | 4,500원 | 등록일 2021.08.12 | 수정일 2021.10.22
  • [디지털공학개론] 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정카운터는 입력 펄스 수를 세는 장치이며 대표적인 플립플롭 응용 장치이다. ... 디지털 시계에 사용되는 카운터는 enable 제어 신호로 설계되어야 한다. enable 제어 신호를 사용하는 이유는 모든 카운터가 하나의 클럭 펄스와 동기화되므로 enable 제어 ... 또한 왼쪽 카운터에 보낼 때는 enable 제어 신호 Eo로 만들어야 한다.
    리포트 | 5페이지 | 2,000원 | 등록일 2022.06.30
  • [컴퓨터과학과] 2021년 1학기 디지털논리회로 출석수업대체시험 핵심체크
    아날로그 시스템: 입력과 출력이 아날로그 데이터인 시스템 (예: 기상 시스템과 같은 모든 자연 시스템) ② 디지털 시스템: 입력과 출력이 디지털 데이터인 시스템 (예: 디지털시계, ... (circuit design) 단계: 능동소자와 수동소자를 연결시키는 단계② 논리설계(logic design) 단계: 논리회로를 만들기 위해 논리소자들을 연결시키는 단계- 중략 - ... 제1장 컴퓨터와 디지털 논리회로1.
    방송통신대 | 29페이지 | 6,000원 | 등록일 2021.04.14
  • 조선대 전자회로실험 디지털시계 과제 레포트
    다른 7404와 달린 74HC04 는 발진할 수 가 있어서 디지털 시계 를 만드는데 74HC04을 써야합니다. 74HC04, 7410, 7414 ( IC15, IC13, IC16) ... 디지털 시계 제작 2조 Ⓒ땅콩Contents Ⅰ 디지털 시계 Ⅱ 작동 원리 Ⅲ 재료 설명 설치 방법 Ⅳ 완성 사진 。브레드 보드 。7-세그먼트 。 IC 패키지 。 。 ... 그림의 회로에서는 가변저항 R2 를 가변하여 발진주파수를 변화시킨다.동작원리 디지털 시계 발진회로로부터 얻어진 구형파를 이용하여 디지털 시계의 기본 단위인 1초를 나타내기 위한 1
    리포트 | 19페이지 | 1,500원 | 등록일 2019.11.07 | 수정일 2019.12.07
  • 디지털 시계 설계 설계보고서(충북대 및 타 대학교)
    기초회로실험 Ⅱ디지털 시계1. ... 작동원리1) 디지털 시계의 구성에 나타낸 디지털 시계의 전테 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 ... 목적1) 디지털 시계 설계2) 학습한 내용을 토대로 디지털 응용회로를 설계, 구현하고 실험을 통해 동작 확인3) 팀 단위의 프로젝트 진행으로 팀원간 역할분담, 팀워크 훈련4) 기판상에
    리포트 | 18페이지 | 2,500원 | 등록일 2020.11.19 | 수정일 2020.12.04
  • 디지털회로실험 카운터 결과보고서
    예시로는 디지털 시계디지털 주파수 카운터가 있다.1. 디지털 시계 : 일상생활에서 흔히 볼 수 있는 디지털 시계는 크게 카운터와 디코더로 이루어졌다. ... 발진회로 : 발진회로는 디지털 시계에 안정적인 클록을 제공할 목적응로 설계되는 회로다. ... 일반적으로 디지털 시계는 [발진회로->분주회로->카운터회로->디코더회로->표시회로]로 구성되어 있다.
    리포트 | 6페이지 | 3,000원 | 등록일 2021.04.16
  • 디지털 시계 실습 vhdl 레포트 (12,60진 카운터)
    다른 표현숫자 들도 FND_DATA의 0이 led의 점등임을 고려하면 해당 숫자가 나옴을 알 수 있다.Vhdl를 이용한 코드.출력 결과1초 생성기이론적 배경디지털 시계를 만들기 위해 ... 이를 통해 주기가 1 초인 클럭이 만들어 진다.Vhdl를 이용한 코드출력 결과60진 카운터이론적 배경디지털 시계구성에서 필요한 카운터로 60초, 또는 60분이 되었을 때 carry발생을 ... 시계이론적 배경Vhdl를 이용해 디지털 시계를 구성하기 위해 component 문을 활용하여 설계를 하게 되었다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.10.05
  • 홍익대학교 실험4 마이크로프로세서 실험 프로젝트
    고찰카운터와 인터럽트를 사용하여 디지털 시계를 만들고 스톱워치, 알람, 카운트 다운의 기능이 구현되었다. ... Clock가장 기본적인기능으로써 Timer를 이용한 디지털 시계이다.Mode 1 : Stop WatchTime을 기록하는 기능으로 Lap_time을 기록하는 기능을 포함한다.Mode ... 내부적으로 만들어진 값을 출력할 때는 print_FND_2bit함수를 사용한다.
    리포트 | 9페이지 | 6,000원 | 등록일 2020.01.06
  • 마이크로 프로세서 시계 프로젝트
    필요한 코딩을 입력- while 함수를 사용하여 디지털 시계에 필요한 코딩 내용 들을 입력한다. ... 개요■내장 지연함수를 사용하여 디지털시계를 제작●4자리의 7-segment(FND)에 다음과 같이 표시함(분) (10초) (1초)·(0.1초)○각 자리 수에 지정된 시간을 표시하고, ... 주요 기능 및 순서도a.디지털 시계 기초 설계의 주요 기능-1) FND_DATA 선언: 7-segment에 나타나는 값을 설정해주는 선언입니다.-2) IF, FOR, ELSE, WHILE
    리포트 | 7페이지 | 1,500원 | 등록일 2020.04.29
  • 디지털 제품과 일반 아날로그 제품을 선택해서 신제품 개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오. 서론
    프로토타입을 만들거나 시뮬레이션을 통해 개념을 시각화하고 검증하는 단계로, 제품 개발의 핵심적인 부분 중 하나이다.3.4. ... 예를 들어, 고전적인 시계나 아날로그 카메라는 오랜 시간 동안 거의 변경되지 않은 기술을 기반으로 하고 있으며, 이러한 제품들은 고객들에게 안정성과 신뢰성을 제공한다. ... 또한, 아날로그 시계의 경우, 정확한 시간을 유지하기 위한 기계적인 메카니즘는 않는다. 이 단계에서는 제품의 개발 및 마케팅 비용이 많이 들며 수익은 일반적으로 제한적이다.
    리포트 | 8페이지 | 3,000원 | 등록일 2024.07.09
  • (컴퓨터의이해) 다음 문제에 대하여 주요 내용을 ①, ②, ③, ④ 번호를 붙여서 4가지 이상 설명하고 관련된
    시계이다. ... 뿐만 아니라 시계의 패널 자체가 출력장치이다. 사용자가 애플워치를 조작할 때 애플워치의 화면을 보게 된다. 즉, 애플워치는 시계의 화면을 통해서 정보를 출력한다고 하겠다. ... 부동산과 디지털 기술을 접목한 기술을 일컫는 ‘프롭테크’에도 AR 기술이 활용된다. 가상세계는 현실과 유사하거나 완전히 다른 대안적인 세계를 디지털로 구축한 것을 말한다.
    방송통신대 | 7페이지 | 2,000원 | 등록일 2023.03.10
  • 한양대학교 원자력공학과 편입 합격자 학업계획서
    초 표시기능과 시간 수정 기능을 포함한 디지털시계를 제작했습니다. ... 강의를 들으며 C언어는 다양한 공학 분야의 바탕이 됨을 깨달았고 비트 연산자를 활용한 보수계산 프로그램을 만들어 디지털 공학 과목에서 사용해보는 등 유기적 사고를 할 수 있게 되었습니다.이 ... Multisim 프로그램을 이용해 오실레이터의 클록을 1초로 조정하는 분주회로와 카운터를 설계하고 시뮬레이션이 완벽히 작동하는지 확인한 후 납땜을 통해 시계를 구현했습니다.
    자기소개서 | 3페이지 | 9,900원 | 등록일 2023.08.22 | 수정일 2023.08.30
  • 디지털제품과 일반 아날로그제품을 선택해서 신제품 개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오. 할인자료
    또한 가장 중요한 시험이라고 할 수 있는 수능에서도 아날로그 시계만 수험장에 반입이 되고, 디지털 시계는 시험을 보기 전 감독관선생님께 제출해야 된다는 주의사항정도는 자주 들어서 아는 ... 이러한 제품은 비즈니스를 수행하는 회사의 삶을 더 쉽게 만듭니다. ... 주제: 디지털제품과 일반 아날로그제품을 선택해서 신제품 개발과정의 공통점과 차이점을 분석하여 과제를 작성하시오.서론본론결론참고문헌서론기술의 발전으로 우리 삶은 하루가 다르게 변화하고
    리포트 | 5페이지 | 2,000원 (5%↓) 1900원 | 등록일 2023.02.11
  • (인터넷생활윤리) 문제) 디지털 다이어트(디지털 디톡스) 실천하기 및 청소년 지도 방안 마련하기
    24:31알람다음 날 알람 맞추기알람시계평일 1일(5월 11일)07:30 ?07:31알람기상을 위한 설정알람시계08:20 ? ... 지금은 양초 만들기와 그림 그리기에 관심이 많아져서 이를 중심으로 클래스를 들어볼 예정이다. ... 고민하다가 젊었을 때에 뜨개질에 관심이 많았기에 무언가를 만드는 것을 해보고 싶어졌고 이와 관련된 모임을 찾아보았다.
    방송통신대 | 7페이지 | 2,000원 | 등록일 2021.01.28
  • 인터넷생활윤리3공통 주체적인 스마트폰 사용00
    만원 정도면 사는 알람 시계를 이용하자. ... 이러한 경험을 바탕으로 더 건강하고 풍요로운 생활을 만들어 나갈 수 있을 것이라고 생각한다.2) 주체적 스마트폰 디지털 생활 실천 향후 계획주체적인 스마트폰 디지털 생활을 실천한 경험을 ... 처음 몇 일은 조금 어려울 수 있지만, 곧 괜찮아질 것이다.2) 알람시계 사용하기아침에 일어나자마자 하는 일은 아마도 스마트폰을 들어 알람을 끄는 것이다.
    방송통신대 | 6페이지 | 6,000원 | 등록일 2024.03.16
  • 방통대 컴퓨터의이해 A+
    (가) 홈네트워킹과 스마트홈에 대하여 설명하라.① 홈네트워킹의 사전적 의미로는 PC, 휴대 전화, Digital TV, 게임기 등 가정 내의 정보 기기들 사이에 네트워크를 형성해 디지털 ... Digital crown은 시계 오른쪽에 달린 조그만 다이얼로, 여기에 손가락을 대고 심박수를 측정하면, 뒷면에 있는 전극에서 심장과 양팔 사이에 폐회로가 생겨 가슴에 흐르는 전기 ... , Digital Crown 등의 입력 장치가 있다.
    방송통신대 | 7페이지 | 3,000원 | 등록일 2024.01.25 | 수정일 2024.02.19
  • [2019 글쓰기3공통][2번 선정] 자신이 가장 아끼는 물건을 세 개 선택하여 다음을 참고하여 설명하는 글을 쓰시오. 1)각 물건마다 적절한 제목을 붙여 세 편의 글을 쓸 것 2)물건을 소유하게 된 사연과 소중한 이유 3)각 물건의 외양과 기능, 느낌
    단지 신상 제품과 디자인이 좋은 시계, 디지털이 멋진 시계, 아날로그 기계식 소리가 좋아 산 시계들이 전부였다.평소 나는 휴대폰 만지기를 좋아했었다. ... 그의 본적은 일본이고, 그를 만든 아버지는 G-SHOCK 시리즈의 개발자인 이베 키쿠오이다. ... 시간 확인을 위해 이 친구를 쳐다볼 때, 디지털시계 모드가 같이 기능하기에 시간 확인도 편하고, 심심함을 달래기 위해 시계 초를 보면 순간의 시간이 지나갈 정도로 소소한 재미를 느끼게
    방송통신대 | 8페이지 | 4,000원 | 등록일 2019.10.06
  • 인스타브레인
    사용해야하는지 안전수칙을 친절하게 제시한다.디지털 세계를 여행하는 사람들을 위한 안전 수칙휴대전화사용시간체크하기자명종시계와 손목시계구입하기하루에 2시간정도휴대전화 끄기모든 푸시 알람 ... 그렇지만 그 쉽지 않은 행동들이 우리를 어떻게 서서히 스마트폰의 노예로 만들어 놨는지 알 수 있다. 스마트폰은 거의 모든 전자기기의 기능을 빨아들인 블랙홀이라고 하는게 맞다. ... 좋은 선례를 보여주기자리에 눕기 최소1시간 전 휴대전화와 태블릿 혹은 이북 리더기 끄기휴대전화를 침실에 두지말기-알람시계사용하기휴대전화를 침실에 두어야한다면 알람음을 끄고 무음으로
    리포트 | 3페이지 | 2,500원 | 등록일 2022.08.24
  • 2024 최신자료 [A+] 제주대학교 창업의이해 정리 및 1~14주차 전체 요약 자료
    디지털 혁신 시계-디지털 혁신의 목표는 애플리케이션 및 서비스 구축 속도를 높이고 고객 경험을 단순화하고 개선하며 더 많은 정보에 대한 접근을 신속하게 제공하는 것? ... 언택트 시계1) 언택트란? ... 거래 관계에서 디지털 플랫폼과 상호 이익이 되는 네트워크 조직으로 전환3) 디지털 솔루션 개발 가속화-변화하는 고객 기대치를 반영하기 위해 디지털 인프라를 구축-데이터, 사물 인터넷
    시험자료 | 22페이지 | 3,000원 | 등록일 2024.05.07
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 05일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:28 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대