• 통큰쿠폰이벤트-통합
  • 통합검색(107)
  • 리포트(90)
  • 방송통신대(13)
  • 논문(3)
  • 시험자료(1)

"산술논리연산회로 보고서" 검색결과 21-40 / 107건

  • 폰 노이만이 주장한 컴퓨팅 구조에 대해 조사요약
    컴퓨터 동작을 제어하는 제어장치, 프로그램에서 요청한 산술논리 연상을 수행하는 논리연산장치, 데이터와 수행 중인 값을 저장하는 데에 사용하는 레지스터 셋, 명령어가 순차적으로 실행되도록 ... 폰 노이만은 메모리에 프로그램을 저장해 처리하는 방식에 대한 고민으로 차세대 컴퓨터 에드박 보고서를 작성했다. ... 이후 자연스럽게 에니박 프로젝트에도 참여하게 되고 1945년 에드박 보고서 초안을 발표하면서 프로그램 내장형 컴퓨터 구조 설계를 보이면서 지금까지 우리가 사용하는 컴퓨터 세계를 열게
    리포트 | 7페이지 | 2,000원 | 등록일 2024.09.05
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서 1
    그 중 산술연산에는 가산, 감산, 승산, 제산이 있는데 각 산술을 요약하면 다음과 같다.- 가산 기능 : 가산기(adder)라 불리는 논리 회로에 의해 실행되고 두 수와 자리 올림 ... 조합은 예비보고서와 실험 결과가 잘 일치했다. ... 실험2의 예비보고서를 쓰면서 알게 된 사실인데, 이렇게 아무것도 아닌 불확실한 입력을 floating이라고 한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 논리회로실험 병렬 가산기 설계
    논리회로설계 실험 예비보고서 #3실험 3. 병렬 가산기 설계1. ... 자료흐름모델링에서는 라이브러리를 추가하여 산술연산 방법으로 쉽게 작성하였다.2) 테스트 벤치 코드? 좌측의 코드는 동작적 모델링에서 쓰인 코드이다. ... 위한 이진수이며, 오른쪽 그림과 같은 방법을 통해 구한다.(2) 2의 보수어떠한 수를 2의 제곱수에서 빼서 얻은 이진수이다. 1의 보수에서 1을 더하여서도 구할 수 있으며, 주로 산술연산에서
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 디지털 논리회로 실험 6주차 ALU 결과보고서
    디지털 논리회로 설계 및 실험결과보고서주제 : ALU소속: 공과대학 전자전기공학부수업: X X,X XXX 교수님 XXX 조교님제출 일자: 20XX년 X월 XX일 X요일X조 XXXXXXX ... 논리를 수행하는 산술논리 연산 장치인 ALU를 이용하여 다양한 수학적 연산들을 해보고 데이터 값을 받아 그 값들을 비교해보는 실험을 하였다. ... 결론결과보고서에 응용 실험 (1), (2)에 대한 내용을 포함하시오. (1)을 어떻게 계산하였는지 서술하시오.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.04.22
  • 방송통신대_컴퓨터의이해_1. 다음 문제에 대하여 주요 내용을 1, 2, 3, 4 번호를 붙여서 4가지 이상 설명하고 관련된 그림이나 사진을 설명문 본문에 한 장씩만 덧붙인다. 2. 컴퓨터에서 데이터의 입출력 방식에 대한 다음 내용을 (가)와 (나) 각각 A4 용지 1~2페이지, 총 3페이지 이내로 작성하라.
    산술 논리연산장치(ALU), 레지스터, 프로그램 계수 장치, 명령해독기, 제어회로 등 CPU의 모든 기능이 1개의 LSI칩에 조립되어 있다. ... 기능을 수행하도록 만들어진 다목적 프로그램이 가능한 대용량 논리회로이다. ... 코드 중 QR코드를 포함하여 두 가지를 조사하여 설명하고, 본인의 학과, 본인의 이름, (가)에서 선택한 웨어러블 기기의 명칭 및 입출력장치 명칭이 들어 있는 QR코드를 만들어 보고서
    방송통신대 | 7페이지 | 3,000원 | 등록일 2022.06.19
  • 기계공학응용실험 A+, 9장 PLC 응용실험
    과제를 통해서 수업시간에 배운 내용을 점검하면서 공부할 수 있었고, 다시 보고서를 작성하며 PLC회로에 대해서 복습할 수 있었다. ... NEMA(미국전기공업협회)의 정의로는 ‘기계나 프로세서를 제어하기 위해 논리 시퀀스, 카운터, 타이머, 산술연산 기능을 첨가시킨 디지털 잔자장치’로 PLC를 규정하고 있다.PLC의 ... 래더 다이어그램은 논리 AND 연산자의 직렬회로논리 OR의 병렬회로에 분석이 용이하다.(3) PLC의 작동원리PLC는 입출력장치 및 프로그램으로 기계나 프로세서 작업을 제어하는
    리포트 | 5페이지 | 1,000원 | 등록일 2020.01.03
  • 전기및디지털회로실험 실험7 예비보고서
    BCD 코드에 의한 산술 연산이 가능하며, 가산법에서는 두 가지 조건이 적용된다.첫째, BCD 수를 가산한 결과, 각 자릿수의 4비트가 10개의 BCD 수(0000~1001)에 포함되어 ... 예비보고서 문제 풀이6. ... 전기및디지털회로실험예비레포트담당교수 :학과 :학번 :이름 :목차실험 명실험 개요이론 조사실험 기기예비보고서 문제풀이실험 순서실험명실험 7. 디코더와 인코더2. 실험 개요1.
    리포트 | 11페이지 | 1,000원 | 등록일 2023.06.30
  • vhid 전가산기 이용 설계 보고서
    전가산기 연산은 다음 식과 같다. 이 식은 X, Y, Cin 3비트에 대해 산술 덧셈을 실행하는 조합논리회로이다. ... 전가산기 설계 실습 결과 보고서 ··················································································· ... S = 1, Cout = 0A, B, Cin 중 2개가 1이면 S = 0, Cout = 1A, B, Cin 모두 1이면 S = 1, Cout = 1전가산기 설계 과정을 통해 조합논리회로
    리포트 | 6페이지 | 1,500원 | 등록일 2020.12.11
  • 컴퓨터의이해 2022] 홈네트워킹과 스마트홈 메타버스 마이크로프로세서 웨어러블 기기 중 한 가지를 선택 입력장치 출력장치 매트릭스 코드 중 QR코드를 포함하여 두 가지를 조사 QR코드를 만들어 보고서에 첨부
    ALU(연산 장치)는 명령을 실행하기 위한 최소한의 연산을 수행하는 장치로, 산술 연산, 논리 연산, 비트 연산 등 다양한 실제 연산을 수행한다. ... 첨부하라.1) 데이터 매트릭스 코드2) QR코드3) 본인의 학과, 본인의 이름, (가)에서 선택한 웨어러블 기기의 명칭 및 입출력장치 명칭이 들어 있는 QR코드를 만들어 보고서에 ... 코드 중 QR코드를 포함하여 두 가지를 조사하여 설명하고, 본인의 학과, 본인의 이름, (가)에서 선택한 웨어러블 기기의 명칭 및 입출력장치 명칭이 들어 있는 QR코드를 만들어 보고서
    방송통신대 | 10페이지 | 5,000원 | 등록일 2022.03.11
  • 서울시립대 전자전기설계2(전전설2) 4주차 사전보고서
    2019년 전자전기컴퓨터설계실험24주차 사전보고서1. always 구문과 initial 구문의 차이점에 대하여 조사하시오.두 구문 모두 조합논리회로와 순차논리회로의 설계, 설계된 회로의 ... 할당하는 데이터 형은 reg형이며 이런 특성으로 산술, 논리, 조건 연산식의 복합형도 사용할 수 있다. ... 통해 여러 논리회로를 설정할 수 있다.2.
    리포트 | 6페이지 | 1,500원 | 등록일 2019.10.13
  • 컴퓨터의이해 2022] 1.홈네트워킹과 스마트홈, 메타버스, 마이크로프로세서, 웨어러블 기기 중 한 가지를 선택 입력장치 출력장치 매트릭스 코드 중 QR코드를 포함하여 두 가지를 조사 QR코드를 만들어 보고서에 첨부
    메타버스는 디지털 세계로의 장치는 명령어 실행을 위한 최소한의 연산을 수행하는 장치로, 산술 연산, 논리 연산 및 비트 연산과 같은 다양한 실제 연산을 수행합니다. ... QR코드를 포함한 2개의 매트릭스 코드를 조사하여 설명하고, 소속 부서, 이름, (가)에서 선택한 웨어러블 기기명, 입출력 기기명을 포함하는 QR코드를 생성하여 부착하여 보고서를 완 ... 것 (예: 내 유년의 기록, 우표책) 2)사하여 설명하고, 본인의 학과, 본인의 이름, (가)에서 선택한 웨어러블 기기의 명칭 및 입출력장치 명칭이 들어 있는 QR코드를 만들어 보고서
    방송통신대 | 12페이지 | 5,000원 | 등록일 2022.03.29
  • (Jelly) A+ 기초전기전자실험 PLC 제어
    기능을 추가하여 프로그램 제어가 가능하도록 한 자율성이 높은 제어 장치이다.PLC란 논리연산, 순서조작, 시한 계수 및 산술 연산 등의 제어 동작을 실행시키기 위해 제어 순서를 일련의 ... 기계공학실험길이의 측정 실험 보고서기계공학실험 기계공학부0 000 교수님0000000000 000PLC 제어1.실험 목적- CNC(Computer Numerical Control)와 ... PLC는 메모리에 있는 프로그램을 순차적으로 연산하는 직렬 처리 방식이고, 릴레이 시퀀스는 여러 회로가 전기적인 신호에 의해 동시에 동작하는 병렬 처리 방식이다.
    리포트 | 10페이지 | 2,500원 | 등록일 2020.04.08 | 수정일 2020.05.27
  • 한국방송통신대 컴퓨터의이해 과제물
    전극을 수평으로 배치해 액정을 눌러도 모양이 변하지 않으며 시야각이즐길 수 있다고 한다.중앙처리장치(제어장치+산술논리연산장치)8세대 인텔® 코어™ i5 프로세서 8250U기술이 계속 ... (나) 본인의 이름과 소속 학과명이 포함된 텍스트가 들어 있는 QR코드를 만들어서 보고서에 첨부하라. ... 기존에는 문서내용을 보고 일일이 키보드를 칠 수밖에 없지만, 이미지코드(2차원 바코드)가 인쇄되어 있으면 카메라로 간단히 찍기만 하면 해당 웹페이지로 접속이 된다.- 보고서를 제출할
    방송통신대 | 5페이지 | 3,000원 | 등록일 2020.03.31 | 수정일 2021.04.01
  • [기초전자회로실험1] "Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증 (NAND2, NOR2, XOR2)" 예비보고서
    , XOR2)자료는 실제 실험을 바탕으로 작성되었으며,보고서 평가 A+기초전자회로실험1 과목 A+받은 자료입니다.1. ... 위해 사용한다.Verilog HDL의 연산자는 다음과 같은 문법으로 이루어진다.산술 연산자+,`-,` TIMES ,`÷은+,-,*,/으로 사용하고관계 연산자>, ... 구조적으로 Gate Array, 이용자가 직접프로그램 가능(Field Programmable Gate Array)이다.Basys3 Artix-7 FPGA Board표 [11-1] 논리
    리포트 | 7페이지 | 1,500원 | 등록일 2019.03.18 | 수정일 2019.03.29
  • [기초전자회로실험1] "Verilog HDL을 이용한 Full Adder와 Half Adder의 설계 및 FPGA를 통한 검증" 예비보고서
    통한 검증자료는 실제 실험을 바탕으로 작성되었으며,보고서 평가 A+기초전자회로실험1 과목 A+받은 자료입니다.1. ... 관련이론● Verilog 문법 (연산자)연산자는 산술 연산자, 관계 연산자, 논리 연산자, 시프트 연산자 등이 있으며 값을 연산하는 것에 사용한다. ... 산술 연산자는 크게 단항 연산자와 이항 연산자로 나뉜다. 단항 연산자는 + 와 - 가 있다. + 로 양수를 나타내고, - 로 음수를 나타내는 부호 역할을 한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.19 | 수정일 2019.04.01
  • 가산기 실험보고서
    컴퓨터 내에서 수행되는 4가지 산술연산은 모두 가산기만을 이용하여 이루어지게 된다. 따라서 가산기는 2진수의 산술 연산에서 가장 기본이 되는 회로이다. ... 실험보고서가산기1. 실험목적본 실험을 통해 반가산기에 대해 알아본다.전가산기에 대해 알아본다.2비트 덧셈기에 대해 알아본다.2. ... NAND게이트 칩 2개를 연결하여 반가산기를 구현할 수 있었고, NAND게이트 칩 세 개를 연결하여 전가산기를 구현할 수 있었다. 2진수를 이용한 연산논리 연산산술 연산의 두
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.27 | 수정일 2020.05.01
  • 실험6-산술논리연산회로-예비레포트
    PAGEREF _Toc401081094 \h 6실험 목적산술논리연산회로에 대해 알아본다.산술논리연산회로를 구현하여 산술연산회로 동작을 확인해 본다.산술논리연산회로를 구현하여 논리연산회로 ... 설계s1s0출력기능00OR 연산01XOR 연산10AND 연산11NOT 연산(1bit 논리연산회로) (논리연산회로의 기능)산술논리연산회로아래는 2bit ALU 회로이고 이를 병렬로 연결하면 ... 꺼지도록 하라.s1s0출력기능00OR 연산01XOR 연산10AND 연산11NOT 연산선택입력에 따라 오른쪽과 같은 결과가 나오는지 확인하고 그 결과를 결과보고서에 작성하라.아래 그림의
    리포트 | 14페이지 | 1,000원 | 등록일 2017.03.07
  • 논리회로설계실습-비교기-MUX-ALU-예비보고서
    논리회로설계 실험 예비보고서 #5실험 5. 조합 회로 설계-비교기_MUX_ALU실험 목표비교기와 MUX, DEMUX 그리고 ALU의 작동에 대하여 이해한다. ... 디멀티플렉서의 구성도와 진리표는 다음과 같다.산술논리연산장치(ALU: Arithmetic logic unit)산술논리연산장치는 산술연산, 논리연산 및 시프트(shift)를 수행하는 ... MUX와 유사하게 두개의 입력(A, B)을 받아 선택입력(S0, S1)의 조합에 따라 두개의 입력의 선택입력 조합에 해당하는 산술논리연산을 수행한다.실험 내용실험1.
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • [예비레포트] 마이크로 프로세서 및 기본 환경 세팅
    하고, B에 저장| =A|=BB와 A를 bitwise OR연산을 하고, B에 저장^=A^=BB와 A를 bitwise XOR연산을 하고, B에 저장● 산술연산자 ● 대입연산자● 논리연산자 ... 예비보고서1) 인터넷 검색을 통해 아두이노 우노 및 MCU의 기본정보를 확인하고, 다음표를 완성하시오. ... 값(또는 값의 범위)를 정하고 그 이유를 논리적으로 설명하시오.
    리포트 | 8페이지 | 1,000원 | 등록일 2019.08.22
  • 디시설 - 전가산기, 전감산기 설계
    - 전가산기는 3비트에 대해 산술 덧셈을 실행하는 조합논리회로이다. 이 회로는 3비트 입 력과 2비트 출력으로 구성된다. ... 동작 표현에 의한 설계에서는 진리표를 작성하는 과정 없이 산술 연산을 통해 입출력 관계를 표현함으로써 회로를 구현하였다.이 기능 모델로 설계했을 때의 장점은 디지털 신호의 비트 수가 ... 결과 보고서( 전가산기, 전감산기 설계 )제목전가산기, 전감산기 설계실습 목적전감산기는 한 자리 이진수 뺄셈 시, 전가산기에서 더한 결과 캐리가 발생하는 것과 반대로 아랫자리에서 발생하는
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:29 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대