• 통큰쿠폰이벤트-통합
  • 통합검색(107)
  • 리포트(90)
  • 방송통신대(13)
  • 논문(3)
  • 시험자료(1)

"산술논리연산회로 보고서" 검색결과 61-80 / 107건

  • [컴퓨터의 이해 공통] 1. 아래의 문제에 대하여 A4 용지 2페이지 이내로 서술하라. 2. 2차원바코드에 대하여 다음의 내용을 A4 용지 2페이지 이내로 서술하라.
    자료 처리 순서를 제어하는 제어장치(control unit), 프로그램이나 데이터를 저장할 수 있는 주기억장치(main memory), 산술논리 연산 등을 처리하는 연산논리장치( ... (나) 본인의 이름과 소속 학과명이 포함된 텍스트가 들어 있는 QR코드를 만들어서 보고서에 첨부하라.Ⅰ. 들어가며Ⅱ. ... DataMatrix는 집적보드나 인쇄된 회로도 같은 작은 곳에 marking을 하는 응용등에 많이 쓰인다.
    방송통신대 | 10페이지 | 5,000원 | 등록일 2018.03.13
  • 디지털 시스템 실험 RAM(Random Access Memory) 예비보고서
    우변에는 레지스터, Wire, Parameter 형을 모두 사용할 수 있으며 산술, 논리 조건 연산식을 사용할 수 있다.실험방법Flip-flop을 여러 개 사용하면, 레지스터를 만들 ... 디지털 시스템 설계 및 실험 예비 보고서작성자:학번:실험조:실험일:실험제목RAM(Random Access Memory)실험목표1. 16×4RAM(Random Access Memory ... 조건식 내에는 다양한 연산자를 사용할 수 있다.3.2 Always 문순차회로는 입력뿐만 아니라 현재 상태에 따라 값이 다르게 나올 수 있는 회로이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.08
  • [컴퓨터공학기초설계및실험2 보고서] Subtractor & Arithmetic Logic Unit
    논리 장치)는 두 숫자의 (덧셈, 뺄셈 등과 같은) 산술 연산과 (AND, OR, XOR 등과 같은) 논리연산을 계산하는 디지털 회로이다.ALU는 opcode에 따라서 여러 가지 ... 컴퓨터 공학 기초 설계 및 실험2 보고서실험제목: Subtractor & Arithmetic Logic Unit제목 및 목적제목Subtractor & Arithmetic Logic ... Arithmetic Logic Unit(산술 논리 장치)의 동작원리 및 특성을 이해하고 ALU을 설계하고 검증한다.
    리포트 | 18페이지 | 1,500원 | 등록일 2015.04.12 | 수정일 2016.03.12
  • 컴퓨터의 이해-컴퓨터에서 입력장치,중앙처리장치,출력장치,기억장치에 대하여 자세히 설명한다.최신 스마트폰 하나를 선정하고 입력장치,중앙처리장치,출력장치,기억장치에 대하여 자세히 설명한다. 2차원 바코드의 종류와 사용 사례를 조사하라.
    명령계수기, 명령레지스터, 주소레지스터, 명령해독기로 구성되어 있다.연산장치는 자료의 산술연산논리연산을 수행하며 가산기, 누산기, 데이터레지스터,상태레지스터로 구성되어있다.연산을 ... (나) 본인의 이름과 소속 학과명이 포함된 텍스트가 들어 있는 QR코드를 만들어서 보고서에 첨부하라. ... 서 론우리나라는 급속도로 디지털 강국이 되어가고 있다. 특히 요즘에 스마트폰이 없는 사람을 찾기 힘들 것 이다.
    방송통신대 | 8페이지 | 3,000원 | 등록일 2017.10.01
  • 디지털 시스템 실험 Simple Computer 1 - Data Path 예비보고서
    {S1,S0}의 Selection Bit에 따라 AND, OR, XOR, NOT의 논리 연산을 수행하는 회로이다. ... 디지털 시스템 설계 및 실험 예비 보고서작성자:학번:실험조:실험일:실험제목Simple Computer - Data Path실험목표1. ... 연산을 수행한다.Arithmetic Circuit은 다음과 같은 회로 구성을 통해 구현할 수 있다.4.1.2 Logic CircuitLogic Circuit은 입력 A,B에 대해
    리포트 | 10페이지 | 1,000원 | 등록일 2016.04.08
  • 마이크로프로세서 결과보고서 2장 - LED ONOFF 해보기
    산술논리 연산장치. addition, subtraction, shift, logical operation을 수행한다.ADDLW(add literal and w)ADDLW k (C, ... 마이크로프로세서 설계 실험 결과보고서2장 LED ON/OFF 해보기13목 차2 - 1 실험 소개································22 - 2 실험 내용···· ... 이렇게 하려면 다른 논리소자의 출력을 공통으로 연결하여야 한다.
    리포트 | 14페이지 | 3,000원 | 등록일 2016.06.25 | 수정일 2016.06.27
  • 제어계측공학과 졸업작품『라인트레이서』
    내부레지스터 기능을 살펴보면 다음과 같다.ALU(Arithmetic Logic Unit): 산술 논리 연산의 중앙 장치로 연산 결과는 ACC에 저장됨.ACC(Accumulator): ... 세부 연구 결과 보고서지능형 무선 조종 로봇(라인트레이서)목 차Ⅰ. 작품 개요Ⅱ. 관련 이론Ⅲ. 개발 내용Ⅳ. 결론Ⅰ. ... Step motor 구동을 위한 chip이 따로 나와 있지만 회로를 구성하여 사용하였다.
    논문 | 15페이지 | 4,000원 | 등록일 2020.05.31 | 수정일 2020.06.07
  • AVR을 이용한 마이크로컨트롤러 GPIO 입출력 제어 실험 예비보고서
    다시 말하면 컴퓨터의 산술 논리 연산기와 레지스터, 프로그램 카운터, 명령 디코더, 제어회로 등의 연산장치와 제어장치를 1개의 작은 실리콘 칩에 모아놓은 처리장치를 말한다.* 마이크로 ... 예비보고서-AVR 마이크로컨트롤러, 컨트롤러 개발환경-GPIO 입출력 제어-INTERNAL MEMORY의 이해, 인터럽트- Contents -1. ... * ATMega128 패키지-64핀, TQFP 혹은 MLF, 6개의 범용 입출력 포트 제공** TQFP,MLF : 공간이 제약된 응용프로그램을 설계하는 데 사용되는 집적회로 패키지한
    리포트 | 8페이지 | 1,000원 | 등록일 2015.04.24 | 수정일 2017.05.10
  • 컴퓨터 구조 CPU 설계 프로젝트 입니다.
    프로젝트 결과보고서제목: CPU 설계1. 서론? ... 산술/논리 연산: add, sub, and, or, slt, addi, andi, ori, slti, bne? ... CPU 구성기본 구성으로는 CPU에서 처리할 명령어를 저장하는 역할을 하는 프로세서 레지스터, 비교, 판단, 연산을 담당하는 산술논리연산장치(ALU), 명령어의 해석과 올바른 실행을
    리포트 | 24페이지 | 1,500원 | 등록일 2014.12.11 | 수정일 2014.12.12
  • [컴퓨터구조] 2개의 칩을 사용한 8비트 ALU 설계
    실습보고서제목 : 2개의 칩을 사용한 8비트 ALU 설계1. 제목 : 2개의 74181 칩을 이용한 8비트 ALU 설계2. ... 컴퓨터에서는 각 마이크로 연산마다 독립된 레지스터를 두는 대신에 산술 논리 장치(ALU)라고 하는 공용 연산 장치에 연결된 레지스터 그룹을 사용한다. ... M=0이면 산술 연산을 수행하는 기능을 한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2013.12.06
  • [기초전기전자실험] 실험 20 plc제어 실험 보고서 [A+받은 자료]
    실험 20 PLC 제어 실험 보고서목 차1. 실험목적2. 실험관련 이론3. 실험순서4. 과제5. 코드해석1. ... , Sequence 제어, 지연, 계산 및 산술 연산 등의 제어동작을 시키기 위해, 제어순서를 일련의 명령어 형식으로 기억하는 메모리를 갖고, 이 메모리의 내용에 따라 디지털, 아날로그의 ... 기계동작을 위한 보조적인 제어 기능을 담당.■ PLC 의 정의PLC(Programmable Logic Controller)는 “Process 혹은 Equipment 의 제어를 위한 논리연산
    리포트 | 12페이지 | 2,500원 | 등록일 2016.01.03 | 수정일 2016.04.08
  • 논리회로실험 - 제 5장 ALU 코드를 KIT에 올리는 실험 결과보고서
    과 목 : 논리회로설계과 제 명 : 결과보고서 5담당교수 : 김종태학 과 : 전기전자공학부학 년 : 3학 번 :이 름 :제 출 일 : 2013 / 5 / 81. ... S0~S3의 선택에 따라 입력 데이터 A, B, Cin의 연산이 결정된다.2. S3값에 따라 산술연산을 할 것인지 논리연산을 할 것인지 결정하게 된다.3. ... Design(1)어떠한 회로를 설계할 것인가 1)1)ALU-4bit State(상태) 별 동작-ALU의 동작방법1.
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • C 기반 ALU 설계. 컴퓨터구조 ALU 설계 과제
    ALU 이론 : 개인별 연구 Tool 탐색 : QuartusII , MaxII , Orcad , C 中 택 11 차 6/2 AM 10~11 : 참고자료조사 , 이론연구 , 보고서작성 ... 및 논리 연산을 수행하여 2 진수를 저장하는 역할을 하는 unit. ... 이론 및 실습 : Xilinx Vertex4 SoC Master3 / 송태훈 / 홍릉과학 ( Altera Quartus 2 와 Modelsim 을 사용한 ) Verilog HDL 논리회로
    리포트 | 33페이지 | 3,500원 | 등록일 2013.01.28
  • 종합설계 과제 (초음파 거리 측정기)
    종합설계보고서초음파 센서를 이용한 거리측정목 차Ⅰ. 서론 11.1 연구 배경 11.2 연구 목적 11.3 구현 기능 1Ⅱ. ... 또 불 대수 처리에 불 연산용의 어큐뮬레이터로 사용할 때는 1비트 논리 연산 및 비트처리에도 사용된다. ... (a) 덧셈/뺄셈, 곱셈/나눗셈 등의 산술연산 명령 등에서, 명령실행에 필요한 1개의오퍼런드를 입력하기도 하고, 또 명령실행이 끝나면, 그 결과를 기억하고 있게되는 데에도 사용한다.
    리포트 | 44페이지 | 3,500원 | 등록일 2014.03.16 | 수정일 2015.10.10
  • 교육심리_수업해보기_과제
    ○.ac.kr--------------------------------------------------------------------------------------교육심리기말 보고서1 ... 그리고 IC 7447 Chip과 7406 Chip 등 가용할 수 있는 IC Chip을 교육에 쓸 것이다.마이크로프로세서 :컴퓨터의 산술논리연산기, 레지스터, 프로그램 카운터, 명령디코더 ... , 제어회로 등의 연산장치와 제어장치를 1개의 작은 실리콘 칩에 모아놓은 처리장치를 말한다.
    리포트 | 5페이지 | 3,000원 | 등록일 2012.09.12
  • 디지털공학실험 10/e 22장 예비보고서 입니다. 저자 David.M.Buchla
    실험 보고서에 일부분만 완성한 회로가 있다. ... .※ 시프트 레지스터의 응용분야→ 컴퓨터 내의 산술연산→ 디지털 파형 발생기(a) 링카운터 : 각 순서의 상태에서 하나의 플립플롭을 사용한다. ... 실험보고서에 완성된 회로도를 그려라.2) 회로를 연결하여라.(CLEAR와 SHIFT/LOAD 푸시버튼은 선으로 만들어 사용해도 된다.)
    리포트 | 5페이지 | 1,000원 | 등록일 2013.02.21
  • [토끼] Adder(가산기), HA회로, FA회로, 2 Digit Adder, 2 Digit Adder-Subtractor 설계 및 VHDL검증
    결과 보고서 작성 및 납땜 1,2번 납땜? 이론 준비 및 실험 계획 준비? 김지형 알테라 보드, 쿼터스 사용법 알려주기? 예비 레포트 보조? 실험 3,4번 납땜 및 측정? ... y)'z + x'y회로로 구현하면 아래와 같다.< 전감산기의 논리회로 >5.Simulation실험1) HA 회로를 설계하고 제작하여 동작을 검증하라..< 핸드아웃 Schematic과 ... 수 있다고 했는데 그렇다면 어떤 게이트를 추가하여 다른 산술연산을 할 수 있을까?
    리포트 | 42페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2020.07.10
  • 산술 논리 시프트장치(ALU)를 이용한 디지털 계산기 설계
    작성회로도 작성 전 서론부분과 회의일지 작성, 회로도 작성 후 최종보고서 작성10%3.3. ... 프로젝트 전체 추진 일정프로젝트일정11월12월411182530359주제회의회로도작성최종보고서3.4. ... 외에도 제곱, 루트, 미분 적분 기능을 추가한다면 미적분 관련한 계산기(공학용계산기) 제작이 가능하다.컴퓨터 : 각 마이크로 연산마다 독립된 레지스터를 두는 대신에 산술논리장치 ALU
    리포트 | 20페이지 | 4,000원 | 등록일 2011.05.25
  • 결과03_Adder Subtractor
    또한 subtractor에 대해서도 half-subtractor와 full-subtractor를 구성하고 이를 adder와 비교해 보았다.가산기는 가장 기본적인 산술 연산인 덧셈 연산을 ... 뺄셈을 실현하는 논리회로를 구성하여 뺄셈을 할 수도 있는데, 이 방법에서는 각 감수의 비트를 대응되는 피감수의 비트에서 빼 차 비트를 형성한다. ... 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일: 10.10.04 (월)과목명: 논리회로실험조교명
    리포트 | 4페이지 | 3,000원 | 등록일 2010.10.19
  • 32비트 ALU Verilog설계
    ALU결과 보고서1.실습목표CPU에서 산술 연산 논리장치인 ALU(Arithmetic Logic Unit)을 설계하고 검증한다.2.이론ALU(산술 논리장치)는 두 숫자의 산술연산(덧셈 ... 뺄셈 등등)과 논리연산 (AND OR XOR)등을 계산하는 디지털 회로이다.opcodeoperator000not A001not B010and011or100xor101xnor110add111subALU는 ... A와 B를 비교할 때 A-B를 수행한 결과 N=1이면 AB이다.ALU회로도3.구현 내용구분이름비트수설명Inputa32bitoperandb32bitoperandop3bitopcodeoutputresult32bitalu
    리포트 | 34페이지 | 1,500원 | 등록일 2010.12.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:18 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대