• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(12,980)
  • 리포트(11,396)
  • 자기소개서(648)
  • 시험자료(611)
  • 방송통신대(239)
  • 논문(62)
  • 서식(19)
  • 이력서(2)
  • ppt테마(2)
  • 기업보고서(1)

"신호등 제어" 검색결과 21-40 / 12,980건

  • 종합설계 신호등 제어 중간보고서
    Verilog HDL을 이용한 신호등 제어기 설계 : 디지털 집적회로 설계능력 습득. 4. LCD LED Display 설계 : 효과적인 정보전달 방법 습득. ... 본, 신호등 제어기에서는 전압을 낮추는데 사용함. - Device 명 : LM2575T-5VLCD*LED - LED(Light Emittin Diode)란 발광다이오드의 약자로서 전류가 ... 신호등 순차에 따라 LED로 점등을 표시하고 보행자 횡단 신호 대기 시에는 LCD로 정보를 전달하도록 설계할 것 입니다.
    리포트 | 21페이지 | 3,000원 | 등록일 2009.07.20
  • 신호등 제어기 설계제안서 PPT
    신호등 제어기 설계 제안서 15 - 1프로젝트 목표 - 실험 시간에 배운 내용을 토대로 신호등의 원리를 이해하고 기본적인 회로를 설계할 수 있다 . ... 15 - 4일반적으로 전자 신호등은 BLUE- YELLOW- ARROW- YELLOW- RED 순으로 점등하게 되어 있다 . ... 수 있다 . 15 - 2프로젝트 설명 디지털 논리 설계 및 회로이론 , 기초 논리 회론 시간에 배운 이론을 바탕으로 창의적인 사고를 함으로써 실생활에서 흔히 볼 수 있는 사거리 신호등
    리포트 | 15페이지 | 3,000원 | 등록일 2008.12.29 | 수정일 2015.12.14
  • [vhdl]신호등 제어하는 코딩 (vhdl)
    library ieee;use ieee.std_logic_1164.all, ieee.numeric_std.all;entity traffic_control is port(clk, reset, car : IN std_logic; led ..
    리포트 | 5페이지 | 1,000원 | 등록일 2005.11.11
  • [마이크로프로세서]AT89S51을 이용한 4거리 신호등 제어
    주제 및 과제 제출자 정보- 주제 : AT89S51을 이용한 4거리 신호등 제어2. ... 등 관련기관에서 규격 제도화를 추진 중에 있어 우리나라에서도 LED교통신호등이 보급되고 있다.이에 따라 간단하게 수업에서 배운 8051 칩셋과 LED등을 이용해 4거리 신호등 제어 ... 교통신호등이 약28만개 정도가 설치되어 있으며, 신호등 등수는 80만개 정도로 이를 모두 LED 교통신호등으로 교체 시 연간 208GWh(114억원)의 전력절감이 가능할 것으로 예상하고
    리포트 | 9페이지 | 2,000원 | 등록일 2009.03.31
  • [디지털 논리]사거리 신호등 제어
    여러 유형의 신호등 중 사거리의 신호등을 살펴봄으로써 신호등의 불이 어떻게 들어오는지, 신호등제어하려면 어떤 설계를 해야 하는지 알아보기 위하여 프로젝트를 수행하였다. ... 보행자: 정지▷거리 신호등북쪽,남쪽 신호등: 빨간불 ? ... 보행자: 정지▷거리 신호등북쪽,남쪽 신호등: 빨간불 ? 자동차:정지서쪽,동쪽 신호등: 파란불 ? 자동차:직진♣패턴2▷횡단보도북쪽,남쪽 횡단보도: 파란불?
    리포트 | 22페이지 | 3,000원 | 등록일 2006.06.26 | 수정일 2016.11.21
  • [정보통신] VHDL을 이용한 신호등 제어
    교통 신호 제어기 .1. ... 그림에서 원 내부의 위쪽은 시스템의 상태를 나타내며, 원 내부 아래쪽의 왼쪽은 제어기의 출력으로서 간선 도로 신호등의 색깔을 나타내고, 오른쪽은 농로 신호등의 색깔을 나타낸다. ... 교통 신호 제어기를 VHDL 모델링 및 합성을 통하여 설계하고자 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2004.06.25
  • [자동화] PLC 신호등제어
    신호등 예제 프로그램그림과 같은 신호등의 프로그램을 만드시오.조건은 1. 동시신호2. 녹색등 지연, 비상시 모든 램프 점멸3. ... Start시 1번 신호등부터 동작
    리포트 | 1페이지 | 1,500원 | 등록일 2002.12.01
  • Max+plue[VHDL]를 이용한 네거리 신호등 제어기 설계
    네거리 신호등 제어기 설계⑴ 설계 개요네거리 신호등은 남북방향의 3개의 불빛(빨간불, 노란불, 파란불)과 동서방향의 3개의 불빛(빨간불, 노란불, 파란불) 총 6개의 불빛이 있습니다 ... 신호등이 커져 있는 시간은 빨간불과 파란불 상태에서 각각 3 clock 동안 유지하고, 노란불 상태에서는 1 clock을 유지한다고 가정했습니다.신호등의 6개의 불빛을 light : ... 여기서 좌회전 신호는 없다고 가정합니다.
    리포트 | 3페이지 | 2,000원 | 등록일 2007.06.27
  • 논리회로 신호등 제어기(교차로-2센서) 설계
    신호등 제어기(교차로-2센서) 설계현재상태입력다음상태출력ABCDWTETA+B+C+D+EWREWYEWGNSRNSYNSG0000XX00011000010001XX00101000010010XX001
    리포트 | 27페이지 | 2,000원 | 등록일 2004.06.09
  • 논리회로실험_신호등(사거리) 레포트
    실험 내용남북(NS)과 동서(EW)의 교차로가 있는 교통신호제어기를 디자인한다.1) NS 도로가 교통 흐름이 많은 주도로이므로 EW 도로에 차량이 없을 때는 NS 도로에 녹색신호등은 ... 그리고 EW 도로에 차량이 있으면 신호가 계속 바뀌어야 한다.3) NS 녹색 신호등은 7초 동안 진행되며, 2초간 황색 신호를 준 후 적색으로 바뀐다. ... EW 녹색 신호등은 4초 동안 지속된 후 2초간 황색 신호를 준 후 적색으로 바뀐다.※ 기타사항 : 차량이 통행하는 것은 스위치를 이용하라.
    리포트 | 17페이지 | 3,000원 | 등록일 2022.05.31
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164.all
    리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 디지털회로 보고서
    신호등 소개사거리에서의 보행자 신호등을 구현한다. ... 만약 1000이 나오면 1번 신호등에만 input이 1로 가고 나머지 3개의 신호등에는 0이input으로 들어간다. ... 한 개의 신호등에만 초록불이 켜지고, 나머지에는 빨간 불이 켜진다.- BCD-7-segment Decoder하나의 신호등마다 2개씩 사용하였다.
    리포트 | 5페이지 | 1,500원 | 등록일 2019.09.29
  • plc 리포트
    제어로서, 대표적인 것이 가정의 세탁기 제어나 교통 신호제어, 네온 사인의 점등 및 소등 제어와 같은 우리 일상생활과 밀접한 곳에서 많은 실용 예를 볼 수가 있다.3)전 단계의 ... 다음 동작으로 이행하는 경우순서제어 - 검출기(센서)의 신호로 동작순서 제어제어의 각 단계를 순차적으로 실행하는 데 있어 각각의 동작이 완료되었는지의 여부를 검출기 등으로 확인한 ... 장치, 자동 조립기계 등에 적용(2) 시간 제어(Time Limit Control)- 센서(입력 장치)를 사용하지 않고 시간의 경과에 따라 작업의 다음 단계를 실행하 는 제어- 교통신호
    리포트 | 16페이지 | 2,500원 | 등록일 2020.12.12
  • PN 접합 다이오드 실험 보고서(전자회로 실험), A+ 보고서, 과학기술원자료
    다이오드의 신호등 제어 코드라. ... 두 방향 신호등의 수동 제어1) LED를 다음 그림과 같이 설치한다.그림 12. ... 두 방향 신호등의 자동 제어1) NI ELVIS II를 닫고 Labview를 실행하고, StopLights.vi를 연다.
    리포트 | 21페이지 | 1,500원 | 등록일 2019.09.05 | 수정일 2020.04.16
  • 2016 부산대 교통공학 기말고사
    버스우선신호, 버스역류차도를 얘기한다.cf) 특별제어 : 신호교차로 이외의 교통제어를 말함, 방향 및 차로제어, 우선처리, 운전자 정보시스템 등이 있다.(1) 방향제어 및 차로제어 ... 즉 L/1.2최소 녹색시간은 (보행자 횡단시간-황색시간+보행자최소초기녹색시간)3.(1) 반감응신호기는 주도로교통이 많아, 부도로 교통이 신호등 없이는 주도로 교통을 횡단할 수 없는 ... 녹색신호등화가 켜질 때까지 시간차를 초 또는 주기의 %로 나타낸 값이고, 연동운영 방법 종류는 정주기 신호기를 이용한 연동방법 4가지 비연결 시스템, 시간기준 시스템, 연결시스템,
    시험자료 | 4페이지 | 2,000원 | 등록일 2020.03.22
  • 기계과 레포트 - PLC
    , 교통신호 제어 등 민간 애플리케이션에도 사용된다. ... 금형 부품 등의 제조, 자동차, 제철, 물류, 화학, 식품 등의 기계설비나 시스템장치를 제어하고 작동시켜야하는 다양한 산업플랜트가 있으며 산업 목적뿐만 아니라 세탁기, 엘리베이터 작동 ... 딜레이, 연산 등의 기능이 가능하기 때문에 실시간으로 기계를 제어하거나 여러 가지의 원하는 로직, 시퀀스대로 작동하게 하고 싶을 때 많이 사용한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2020.05.29 | 수정일 2022.03.21
  • 기계공학응용실험 A+, 9장 PLC 응용실험
    일반적으로 생산자동화를 위한 기술로는 센서기술, 유공압, 생산네트워크 기술, 서보 제어기술, 시퀀스 제어기술 등이 있고, 이러한 요소기술을 통합화한 소규모의 단위자동화를 위해서는 PLC ... 센서들로부터 입력신호를 받아서 메모리에 저장된 사용자 프로그램을 실행시켜 필드의 각 제어장치로 출력 명령을 내보낸다. ... 포함(inclusion) 정리, 특성화(characteristic) 정리, 등멱(idmpotent) 정리, 부정(negative) 정리?
    리포트 | 5페이지 | 1,000원 | 등록일 2020.01.03
  • [컴퓨터의이해 기말시험(온라인과제물)] 1. 컴퓨터 기억장치 계층구조의 개념 2. 운영체제 기능 3. 데이터 교환 방식 종류 장단점 4. 무인자동차가 안전하게 운행 정보통신기술
    (A4용지 2매 내외, 배점 : 15점)1) 무인자동차의 개념2) V2X 통신 프로토콜3) AI 기반 광역 네트워크 차원의 최적 신호운영 기술4) 통신 기반의 개별차량 감응제어(Actuation ... 할 수 있다는 장점도 있다.(2) 패킷교환 방식의 단점첫째, 패킷교환에서는 데이터 전송에 따른 확인신호전달과 패킷 손실에 의한 재전송 등의 문제로 인해 전송지연이 과다하게 되어 실시간 ... 전송 제어 순서 등에 의하여 제약을 받지 않으므로 비교적 데이터가 길고 통신밀도가 높은 데이터 통신에 유리하다.(2) 회선교환 방식의 단점첫째, 회선교환 방식은 한번 통신 회선이
    방송통신대 | 8페이지 | 5,000원 | 등록일 2021.05.24
  • 전자회로계측 다이오드
    교차 신호등 제어1. 브레드보드에 6개의 LED를 두 방향으로 신호등과 같이 교차해 설치한다.2. ... 교차 신호등 제어위와 같이 LED를 이용해 교차 신호등 회로를 구성하고, 수동 제어와 LabView 프로그램을 이용한 자동 제어를 시행했다. 6개의 다이오드는 Digital Writer의 ... 마지막으로 신호등의 자동 제어가 잘 작동하는지 확인한다.Ⅲ. 다이오드 반파 정류 회다이오드 축전기 필터 회로1.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.06.01
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    제어기에서 ADC로 Start-convert 신호 전송3. ADC는 샘플링 시작 ... 시스템 설계하기(전체 105초 동작 반복)사람 통행 30초 -> 점멸 10초 -> 차량 통행 60초 -> 황색등 5초case 문을 사용한 횡단보도 제어기의 VHDL 소스총 4가지의 ... 주어진 상태 천이표와 상태 다이아그램 등을 이용하여 적절한 상태머신을 설계한다.상태머신의 특징1.
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:54 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대