• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(14,155)
  • 리포트(13,075)
  • 자기소개서(565)
  • 시험자료(332)
  • 방송통신대(108)
  • 논문(55)
  • 서식(12)
  • 이력서(4)
  • 기업보고서(2)
  • 표지/속지(1)
  • ppt테마(1)

"신호등 회로" 검색결과 21-40 / 14,155건

  • IC소자를 이용한 디지털회로 설계 텀프로젝트 ppt발표자료-사거리 신호등
    그러다 신호등의 점등되는 기계적 움직임에 시연 가능성이 있을 것으로 생각되어 작품구상에 들어가게 되었다.사용부품, 공구기판 LED(빨, 노, 초) 220uF커패시터 330저항·20K가변저항 ... 회로도 작성작품의 구성(브레드보드)기판에 구성전 브레드보드에 구성 -회로의 작동을 확인하여 문제점이 있는지 확인작품의 구성(기판)브레드보드에 구성한 후 작품구동시 문제가 없을 시 기판에 ... 목차제작동기 사용부품및 공구 사용IC Data Sheet 작품의 동작 회로도 구성 작품의 구성(브레드보드) 작품의 구성(만능기판) 작품구성완료 후 동작모습제작동기저번과 마찬가지로 지금껏
    리포트 | 12페이지 | 2,000원 | 등록일 2010.06.11
  • [전자회로]VHDL을 이용한 신호등 설계
    ◆ 소스코드1. top block sourcelibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity top is Port (..
    리포트 | 17페이지 | 2,000원 | 등록일 2003.11.13
  • 논리회로 신호등 제어기(교차로-2센서) 설계
    신호등 제어기(교차로-2센서) 설계현재상태입력다음상태출력ABCDWTETA+B+C+D+EWREWYEWGNSRNSYNSG0000XX00011000010001XX00101000010010XX001 ... 00001000010011010000100001001110010010000100111101001000010100XX01011000100101XX01100011000110XX01110011000111XX11000011001000XX10010011001001XX00000101001010XX00000000001011XX00000000001100XX00000000001101XX00000000001110XX00000000001111XX00000000001.구현하고자하는 회로
    리포트 | 27페이지 | 2,000원 | 등록일 2004.06.09
  • 디지털회로 보고서
    디지털 회로 보고서1. 신호등 소개2. 설계 전 분석2.1. 설계 구성요소 분석2.2. 제약 요소 분석3. 전체회로4. 경제성 분석5. 결론6. 참고문헌1. ... 신호등 소개사거리에서의 보행자 신호등을 구현한다. ... 만약 1000이 나오면 1번 신호등에만 input이 1로 가고 나머지 3개의 신호등에는 0이input으로 들어간다.
    리포트 | 5페이지 | 1,500원 | 등록일 2019.09.29
  • 트랜지스터 증폭회로1 예비보고서
    따라서 RE는 직류 bias상태에서만 영향을 미친다.교류 등가회로와 증폭률위에서의 bias회로에 중첩된 교류 입력신호의 입출력간 관계를 결정하기 위해서는 교류성분에 대한 등가회로를 ... 교류신호에 대한 등가회로는 그림의 커패시터를 모두 short된 것으로 간주함으로써 얻을 수 있다. ... 그러나 회로의 증폭율은 식(6)에서(12)로 되어 원래의 증폭율보다 줄어든다. 그리고 회로의 교류신호에 대한 입력 임피던스는(13)로 된다.3.
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.25
  • [A+] 중앙대 아날로그 및 디지털 회로설계실습 초전형(pyroelectric) 적외선 센서 예비보고서
    이용하여 센서의 움직임 검출 신호를 LED 점등으로 확인할 수 있는 회로를 추가하시오.2-Stage OP-Amp 증폭기의 출력단에 다이오드를 추가하여 어느 정도 이상의 전류가 흘러야만 ... Op-Amp 반전 증폭기를 2-Stage 로 연결하여 적외선 센서의 출력 신호에 변화가 생길 경우 그 신호를 증폭시키는 회로를 설계하시오. ... 이는 적외선 센서가 고주파 신호만을 Filtering 하여 증폭하게 하는 회로적 역할을 수행할 것임을 유추해볼 수 있다.2-3-2.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.01
  • [A+]중앙대 아날로그및디지털설계실습 예비보고서1 초전형 적외선 센서
    (단 Gain이 1000V/V가 되도록 설계하시오.)4-3 4-2의 Op-Amp의 출력신호를 이용하여 센서의 움직임 검출 신호를 LED 점등으로 확인할 수 있는 회로를 추가하시오. ... 설계하시오 (C 값은 10uF 고정)4-2 Op-Amp 반전증폭기를 2-stage로 연결하여 적외선 센서의 출력신호에 변화가 생길 경우 그 신호를 증폭시키는 회로를 설계하시오. ... 실습 계획서4-1 초전형 적외선 센서(RE200B)와 증폭기 사이에 신호를 전달하는 High-Pass Filter(DCblock, 3-dB freq.=5Hz)를 R과 C를 이용하여
    리포트 | 7페이지 | 1,000원 | 등록일 2022.09.08 | 수정일 2022.09.14
  • [기계공학실험]수동형 신호 조화 회로 실험
    일반적인 PCB보드나 만능기판과는 다르게 납땜이 필요 없는 형태의 기판으로 주로 교육용이나 간단한 전자회로 실험용 등으로 사용된다. ... 수동형 신호 조화 회로 실험1. 실험 목적가. 정밀 계측이나 시스템 제어를 위해서는 측정된 신호의 상태를 개선하는 신호 조화 기능이 필요. ... 장점으로는 부품을 재활용 할 수 있고 시간도 절약되지만 단점으로는 다른 기판에 비해 비효율적이며 화재위험이 있고 라디오 등과 같이 높은 주파수를 사용하는 회로를 구성하면 간섭효과가
    리포트 | 6페이지 | 2,500원 | 등록일 2023.07.11
  • [디지털공학개론] 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    회로에 전송한다. ... 카운터에는 비동기 카운터, 동기식 카운터, 프리세트 카운터, 등이 있다.비동기 카운터는 직렬 카운터이며 플리플롭을 다수 종속으로 연결하는 구조로 되어 있고, 플리플롭의 출력 전이가 ... 예를 들어 입력장치에서 전송된 데이터가 임시 레지스터에 저장되었다가 컴퓨터로 전달되고, 컴퓨터에서 계산된 결과 데이터는 임시 레지스터에 저장되었다가 콘솔, 등의 출력장치를 구동하는
    방송통신대 | 7페이지 | 3,000원 | 등록일 2021.03.23
  • 순차논리회로설계 결과레포트
    조합논리회로 : 외부 입력과 상태 정보 등 두 입력 신호와 다음 상태 정보와외부 출력 신호 등 두 출력 신호가 있음? ... : load 신호가 클럭에 영향을 받지 않는다.- 비동기 클리어, 프리셋 레지스터 : 클리어, 프리셋 신호가 클럭에 영향을 받지않는다.- Verilog, VHDL의 인퍼런스(Inference ... [이론내용]▣ 순차논리회로와 상태도▷ 상태도 (FSM : Finite State Machine)- 조합논리회로만으로 디지털 논리회로를 설계하는 것이 쉽지 않다.여기서 조합논리회로란,
    리포트 | 10페이지 | 3,000원 | 등록일 2021.06.10 | 수정일 2022.04.18
  • 디지털 및 순서 논리 회로 프로젝트
    디지털논리 - 순서논리 회로 프로젝트⊙ 요구 사항 (과제 목표 및 개념도)⊙ 배경 지식 (용어 정리: 순차회로, 무어 머신 등..)⊙ 설계 내용? 상태 정의와 상태 전이? ... din'◎ 회로도⊙ 결론* 요약 정리순서논리회로 : 출력은 현재상태의 입력과 이전상태의 출력에 따라 결정.신호의 타이밍으로 동기/비동기 순서논리회로로 나눔. ... 밀리 머신 : 현재 상태와 입력신호에 의해서 출력을 결정⊙ 설계 내용◎ 상태 정의와 상태 전이: 출석 번호 = 23번 , 2진수의 6비트 = 010111?₂?S?
    리포트 | 6페이지 | 2,500원 | 등록일 2021.07.02
  • 아날로그 및 디지털회로 설계실습 / 결과보고서 / 11.카운터 설계 / A+성적인증포함 / 해당학기 전체성적포함
    서론설계실습계획서에서 8진 비동기 카운터 회로에 대하여 Timing chart로 Simulation한 결과, 실험에서 스위치 역할을 대신하는 clk 신호에 의해 각 JK flip flop의 ... 초기상태 0000부터 1111까지 LED 점등을 통해 올바르게 동작하는 것을 확인하였으며, 8진 비동기 카운터와 유사하게, 최대 표현 숫자 1111에서 스위치를 누르면 초기상태 0000으로 ... 요약Flip Flop을 활용한 순차식 논리회로인 비동기 8진 카운터와 16진 동기 카운터 회로를 제작하고 실험하였다.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.13
  • [A+]중앙대 아날로그및디지털회로설계실습 예비보고서6 위상 제어 루프(PLL)
    3- 회로도: V1, V2에 pulse 신호를 인가하였다. ... 가변 발진기는 제어신호의 크기에 따라 출력되는 주파수가 변하는 발진회로이다. ... 예상한 결과와 일치하고 이 때 PLL회로는 불안정적인 신호를 출력한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.09
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    segment display-7-segment display는 A~G의 7개 LED로 간단한 숫자 또는 문자를 표현할 수 있는 display 소자이다. dp라는 LED도 있어서 부호의 표현 등도 ... 또한 디코더는 enable 신호가 존재해, enable이 active일 때 code 변환을 실행하게 된다. ... 설계해보았다.이 때 E는 Enable 신호로, 0일 때는 output이 모두 0이 나오고 1일 때 decoder가 동작한다.E에는 DIO0를 연결했기에, digital writer를
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • (A+) 전자회로실험 신호분석기 동작 및 공통 이미터 증폭기의 주파수응답 예비레포트 / 결과보고서
    잡음 관찰- 잡음 전력의 측정- 신호 대 잡음비(C/N, S/N) 측정-> 변조 관찰- AM 변조지수 및 FM 변조의 주파수 편이 측정-> 송, 수신기 교정 등3. ... 그림 6 입력 RC 회로에서 베이스 전압의 위상은 중간영역 주파수이하에서 입력 신호전압의 위상보다 만큼 앞선다.(5) 출력 RC 회로? ... 그림 9 바이패스 RC 등가회로의 전개(a) C2와 Rin(emitter)로 구성된 바이패스 RC 회로.(b) Tr의 베이스에서 Vin쪽으로 테브난의 정리 적용.
    리포트 | 21페이지 | 1,500원 | 등록일 2021.01.10
  • 부산대학교 전기전자기초실험및설계 텀프로젝트 보고서
    목표방향지시기는 커브가 심한 도로를 운전하는 운전자에게 순차적인 점등을 통해 효과적으로 방향을 전달하며, 해당 도로의 상황을 알려줌으로써 사고를 미연에 방지하는 데 활용될 수 있다.이를 ... 타이머, 카운터, 저항, 축전기, 전원공급기 연결부분의 회로도[ 세부 단계 ]① Vcc에 처음 전압신호가 가해지면 6번과 2번에 C에서 전압이 1/3보다 낮은 전압이 가해지고 첫번째 ... Output9까지 신호가 가고 난 뒤 output9 신호가 reset 과 연결되어 다시 output0으로 돌아간다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.19
  • [예비보고서] 1.초전형 적외선 센서
    센서의 움직임 검출 신호를 LED 점등으로 확인할 수 있는 회로를 추가하시오. ... 회로도는 다음과 같다.1-3-2 Op-amp 반전증폭기를 2-stage로 연결하여 적외선 센서의 출력신호에 변화가 생길 경우 그 신호를 증폭시키는 회로를 설계하시오. ... (답안)앞서 설계한 Op-amp의 출력신호를 이용해서 센서의 움직임을 LED 점등으로 확인하려면, 다음과 같이 출력단에 LED 소자를 연결하여 움직임을 검출할 수 있다.
    리포트 | 2페이지 | 1,000원 | 등록일 2023.01.03
  • 기초전자회로실험 - FPGA Board를 이용한 FSM 회로의 구현 예비레포트
    (ex) 0: 전원 켜는 중, 1: 작동 중 등) 그리고 State Table와 K-Map 등을 활용하여 최적화한다. ... 그 예로, 위의 회로도에서 Q(A)는 Q(D)의 반전신호를 받게 설계되어 있다. ... 그 예로, 위의 회로도에서 Q(A)는 Q(D)의 신호를 그대로 받도록 설계되어 있다.
    리포트 | 8페이지 | 2,000원 | 등록일 2021.02.27
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    실험의 목적Verilog HDL 언어를 사용하여 Combinational Logic을 설계 및 실험(Encoder/Decoder, Mux/Demux 등)하고, 설계한 로직을 시뮬레이션하기 ... 구성되며, 플립플롭 같은 기억 소자는 포함되지 않음.(2) Encoder- 외부에서 들어오는 임의의 신호를 부호화된 신호로 변환.- 2^N 가지의 입력신호들로부터 N비트의 출력신호를 ... IT CookBook, 디지털 논리회로. 조합논리회로.
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • [디지털공학개론] 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오. 2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    카운터는 비동기 카운터, 동기 카운터, 프리셋 카운터 등이 있다.비동기 카운터는 직렬 카운터이며 다수의 플립플롭을 종속으로 연결하는 구조를 가지고 있으며, 플립플롭의 출력 전이가 다른 ... 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정카운터는 입력 펄스 수를 세는 장치이며 대표적인 플립플롭 응용 장치이다. ... 디지털 시계에 사용되는 카운터는 enable 제어 신호로 설계되어야 한다. enable 제어 신호를 사용하는 이유는 모든 카운터가 하나의 클럭 펄스와 동기화되므로 enable 제어
    리포트 | 5페이지 | 2,000원 | 등록일 2022.06.30
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 06일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:00 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기