• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(14,155)
  • 리포트(13,075)
  • 자기소개서(565)
  • 시험자료(332)
  • 방송통신대(108)
  • 논문(55)
  • 서식(12)
  • 이력서(4)
  • 기업보고서(2)
  • 표지/속지(1)
  • ppt테마(1)

"신호등 회로" 검색결과 101-120 / 14,155건

  • 실험11_전자회로실험_예비보고서_공통소오스증폭기
    이렇게 회로를 분석한 것이 소신호 등가회로이다. ... NMOS의 소신호 등가회로에 대해서 설명하고, 과 는 드레인 전류와 어떤 관계인지 유도하시오.소신호 모델은 대부분 정해진 바이어스 조건에서 동작하는 간단한 회로로 구현된다. ... 이를 이용하여 소신호 등가회로를 그리고, 실험회로 1의 공통 소오스 증폭기의 이론적인 전압 이득을 구하시오.전압 이득이 최소 10V/V 이상 나오는지 실험하기 위해서 입력에 10kHz의
    리포트 | 6페이지 | 2,500원 | 등록일 2024.01.09
  • 전기및디지털회로실험 실험8 결과보고서
    -실험 5번 문항 결과분석예상한 신호와 LED의 점등값이 일치했다.(6) 예비보고서 2항에서 설계한 회로를 구성하고 그 동작을 확인하라. ... -실험 3번 문항 결과분석예상한 신호와 LED의 점등값이 일치했다.(4) 7447의 나머지 세 보조입력단자의 상태를 바꾸어가면서 회로의 동작이 어떻게 영향을 받는지 확인하고 이것이보조입력단자의 ... LED의 점등값이 일치했다.(2) 7447을 사용하여 그림 6의 회로를 구성하라.(3) 구성된 회로의 입력에 0000~1111을 인가하여 숫자표시기에 나타나는 표시를 확인하라.0*
    리포트 | 8페이지 | 1,000원 | 등록일 2024.03.12
  • 한양대학교 일반대학원 전기생체공학부 전기공학 전공 학업계획서
    디지털신호처리, 공업교육론, 회로망해석및합성, 회로이론1,2, 공학수학1,2, 창의공학설계1,2, 물리전자 등의 수업을 들었으며 O.O이라는 성적으로 O등으로 졸업하였습니다.2. ... 저는 전기공학부 학부에서 집적회로, 신재생에너지시스템, 마이크로프로세서, 전자회로실험, 신호및시스템, 자동제어, 전력공학, 플라즈마공학, 전력전자, 전기기기1,2, 통신공학1,2, ... Soft Actor-Critic 연구, 공동 충전 충전재를 사용한 에폭시 마이크로 복합재료의 절연 성능에 관한 연구, 실리콘고무/BN 마이크로복합재료의 AC 트래킹 저항에 관한 연구 등을
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.05.09
  • 서강대학교 22년도 전자회로실험 11주차 결과레포트
    구성 사진 및 측정화면 사진은 실험 조원의 학생증 등 ID 가 보이도록 촬영함[실험 1] 전류원 및 전류미러-예비(1) (예비) 그림 17-4 전류미러 실험 회로를 SPICE 시뮬레이션 ... (Pspice회로 출력전압∙전류 그래프)vinp의 amplitude를 20mV로 인가하였다.(6) (예비) 출력신호는 차동신호인가?차동신호가 맞다. ... 공통모드 출력신호 크기 (V) = 3mV양쪽 드레인에서 출력신호의 위상차 (V) =0o전압이득 = 0.3-결과(1) 그림 18-4는 MOSFET 차동 증폭기의 실험 회로이다.
    리포트 | 33페이지 | 1,000원 | 등록일 2024.04.18
  • 전자공학실험 13장 공통 게이트 증폭기 A+ 결과보고서
    이를 이용하여 소신호 등가회로를 그리고, 실험회로 1의 공통 게이트 증폭기 회로의 이론적인 전압 이득을 구하시오. ... 신호의 측정값[그림 13-11] 실험회로 1의 입력 파형과 출력 파형입력 신호출력 신호전압 이득크기(mV)주파수(kHz)크기(V)주파수(kHz)Av =|vO/vsig|Av = 20log ... 회로1실험회로 1([그림 13-5])에서 VDD 값을 12V, vsig값을 0V, VGG값을 6V, VSS값을 로 두고, RS 저항값이 2kΩ인 경우 vO의 DC값이 약 6V가 되도록
    리포트 | 8페이지 | 1,500원 | 등록일 2024.05.13
  • A+ / 디지털시스템설계 가/감산기 실험보고서
    결과분석이번 실험을 통해 프로그래머블 가/감산기 회로에 대한 논리 동작, 회로도 등 자세히 살펴 볼 수 있었다 A 입력의 반전 유무에 따라 가산기와 감산기로 동작한다는 것을 실험적으로 ... 제어신호와 입력 신호에 맞게 출력되었는지 출력(논리동작)을 확인한다.③ 7483 IC소자를 이용하여 4비트 가산기를 회로도에 맞게 제어신호와 입력 신호에 맞게 출력되었는지 출력(논리동작 ... 실험내용 및 방법① 프로그래머를 반 가/감산기의 회로도를 설계하고 제어신호와 입력 신호에 맞게 출력되었는지 출력(논리동작)을 확인한다.② 프로그래머를 반 가/감산기의 회로도를 설계하고
    리포트 | 8페이지 | 2,000원 | 등록일 2023.08.15
  • 컴퓨터구조 ) 에지트리거형 플립프롭(D-, JK-, T-)의 특성을 비교하고 설명해보자
    논리 게이트는 AND, OR, NOT 등의 게이트가 사용될 수 있으며, 회로 구성은 게이트 선택 및 논리적 설계에 따라 다양할 수 있다. ... 클록 신호의 하강 에지에서는 동작이 없으므로 회로도에는 나타나지 않는다. 일반적으로 T-플립플롭의 회로도는 논리 게이트로 구성된다. ... 클록 신호의 하강 에지에서는 동작이 없으므로 회로도에는 나타나지 않는다.
    리포트 | 7페이지 | 5,000원 | 등록일 2023.12.14
  • 아날로그 및 디지털 회로 설계 실습 결과보고서12 Stopwatch설계
    -각 과정별로 사용한 최종 회로도를 그리고 동작 원리를 설명하시오, (회로도를 그릴 때, Vcc, GND연결 등의 기본적인 연결은 표시할 필요 없이 주요 부품과 주요 결선 부분만 표시 ... 설계실습 방법기본적인 클럭 생성 회로 및 카운터 회로 테스트Fucntion generator를 이용하여 사용하고자 하는 1Hz의 Clock 신호를 만들어낸다. ... 이 설계실습을 통하여 배운 점과 느낀 점 그리고 앞으로 개선할 점 등에 대하여 논한다.
    리포트 | 13페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 현대모비스 SW융합 임베디드 / 최신 합격 자기소개서 / 2022년 하반기 합격 자기소개서
    이론, 전자회로, 신호 및 시스템 교과목을 이수하며 얻은 HW에 대한 지식을 기반으로 3학년부터 전동기, 센서, 제어기를 학습하며 메카트로닉스 시스템에 대한 이해도를 높였습니다. ... 나오는 I/O 신호를 통하여 기본적인 프로그램을 작성해보았으며, MCU와 모바일 기기, PC와의 시리얼 통신, TCP/IP 통신, 블루투스 등을 이용하여 시스템을 원격제어 하는 프로젝트를 ... 해당 문제의 원인은 노이즈였으며, 이를 해결하기 위하여 처음에는 회로에 RC 회로를 추가하여 노이즈를 차단하였습니다.
    자기소개서 | 4페이지 | 4,000원 | 등록일 2023.05.01 | 수정일 2023.05.14
  • 한양대학교 편입학 생체공학과 학업계획서
    , 바이오메디컬공학특강1,2, 의료기기, 바이오센서, 생체소재공학IC-PBL, 생체모방공학, 생체신호처리, 생체신호계측및실습 등의 수업을 듣고 싶습니다. ... , 생체소자공학, BME신호및시스템 등의 수업을 수강했습니다.저는 바이오메디컬공학을 전공했고 전 과정을 마치고 졸업했습니다. ... 전공을 위해 노력한 경험을 기술하시오.저는 OO대학교 바이오메디컬공학부에 다니면서 해부생리, 기초의공학실험, 의료전자기학1,2, 의료영상복원, BME열물리, 융합바이오공학, 의용회로이론
    자기소개서 | 1페이지 | 3,800원 | 등록일 2023.12.27
  • 건국대학교 전기전자기초실험1 14주차 예비보고서 A+
    기능을 가지도록 구성된 기억 소자로서 입력 단자의 수와 동작 특성이 서로 다른 몇 가지 종류가 있는데, 대표적인 예로는 D 플립플롭, SR 플립플롭, JK 플립플롭, T 플립플롭 등이 ... 순차회로에서는 기억 소자의 출력이 입력 신호와 함께 조합회로를 통과하면서 다음 출력을 결정하게 된다. ... 하강 엣지 클럭은 반대로 구형파의 신호가 1에서 0으로 하강하는 시점에 회로가 동기화되어 출력 신호가 변화하도록 하는 클럭을 말한다.(2) SR 플립플롭의 회로도, 출력신호의 논리식
    리포트 | 9페이지 | 5,000원 | 등록일 2024.04.14 | 수정일 2024.04.22
  • 디지털공학개론(디지털IC의 기본 특성, 조합논리회로와 기본플립플롭회로)
    개발로 IC가 더욱 발전하고 있다.(2) 집적회로(IC)의 종류집적회로(IC)의 종류를 정리하면 아래의 표와 같다.아날로그IC- 오디오, 전압 신호 등의 연속 신호를 처리하도록 설계 ... - 오디오 증폭기, 전압 조정기, 센서 등 분야에 활용디지털IC- 컴퓨터 등에서 사용되는 이산 신화 처리하도록 설계- 마이크로프로세서, 메모리 칩, 논리 게이트 등 분야에 활용혼합신호IC ... - 아날로그, 디지털 신호 처리하도록 설계- 데이터 컨버터, 전원 관리 IC, 모터 제어 IC 등 분야에 활용메모리IC- 디지털 정보 저장- 컴퓨터 메모리, 플래시 메모리, ROM
    리포트 | 4페이지 | 2,000원 | 등록일 2024.01.16
  • 전자공학실험 11장 공통 소오스 증폭기 A+ 예비보고서
    이를 이용하여 소신호 등가회로를 그리고, 실험회로 1의 공통 소오스 증폭기의 이론적인 전압 이득을 구하시오. ... 이 실험에서는 공통 소오스 증폭기의 입력-출력 특성 곡선을 구하고, 소신호 등가회로의 개념을 적용하여 전압 이득을 구해본 다음, 실험을 통하여 동작을 확인하고자 한다.2 실험 기자재 ... 바꾸면 34.57[dB]가 된다.6 예비 보고 사항(1) NMOS의 소신호 등가회로에 대해서 설명하고, gm과 ro는 드레인 전류와 어떤 관계인지 유도하시오.: MOSFET은 포화
    리포트 | 13페이지 | 1,500원 | 등록일 2024.04.09
  • [A+보장]한양대에리카A+맞은 레포트,논리설계실험,Breadboard & Basic logic gates
    여기에 사용되는 2진 신호를 실험에서는 스위치가 on이나 off되는 현상으로 나타내기도 하며, 때로는 전압이 높고 낮음을 표시하는 High(1), Low(0)등의 대응 기호로 표현하기도 ... 컴퓨터에서는 제어와 계산을 위해 원하는 정보를 얻을 수 있는 논리 회로의 합성으로 구성될 수 있으며 이렇게 나온 출력 신호는 정보의 한 bit가된다. ... 그리고 시스템 목적에 따라 입력되는 2진 논리 신호들에 대하여 적당한 2진 신호를 출력하게 한다.
    리포트 | 16페이지 | 2,500원 | 등록일 2024.05.21
  • 서울대학교 일반대학원 전기정보공학부 연구계획서
    , 인터넷프로그래밍, 안테나공학, 멀티미디어신호처리, 나노전자공학, 전기전자재료공학, 정보기억소자, 종합설계1,2, 운영체제, 반도체공학1,2 등의 수업을 들었습니다. ... 최적화 연구, Domino 로직 회로를 위한 최소 지연 최적화: 커플링 인식 접근 방식 연구 등을 하고 싶습니다.2. ... 셀을 사용한 회로 최적화 연구, 테스트 가능성 향상을 위한 디지털 시스템의 단계적 개선 합성 연구, 고속 회로를 위한 최적의 비트 수준 산술 최적화 연구 등을 하고 싶습니다.저는
    자기소개서 | 2페이지 | 3,800원 | 등록일 2023.04.16
  • [부산대학교 응전실1(응용전기전자실험1)] 필터회로 설계 및 특성실험 예비보고서
    , 크기, 속도 등을 측정하는 기술입니다. ... 따라서 대역통과필터를 사용하여 이 범위의 주파수만 추출하여 신호를 처리합니다.3) 책 그림 3-22의 차단 주파수 및 전압 이득을 계산하시오.이 회로는 통과대역의 전압이득이 다음과 ... 대역통과 필터는 레이더에서 사용되는 신호의 주파수 대역을 선택하여 불필요한 주파수 대역의 신호를 차단하여 레이더 신호의 성능을 향상시킵니다.
    리포트 | 5페이지 | 1,000원 | 등록일 2023.10.01 | 수정일 2024.03.22
  • 에지트리거형 플립플롭 (D-, JK-, T-)의 특성 비교 및 설명
    논리 게이트는 AND, OR, NOT 등의 게이트가 사용될 수 있으며, 회로 구성은 게이트 선택 및 논리적 설계에 따라 다양할 수 있다. ... 클록 신호의 하강 에지에서는 동작이 없으므로 회로도에는 나타나지 않는다. 일반적으로 T-플립플롭의 회로도는 논리 게이트로 구성된다. ... 클록 신호의 하강 에지에서는 동작이 없으므로 회로도에는 나타나지 않는다.
    리포트 | 7페이지 | 4,000원 | 등록일 2023.07.18
  • 서강대학교 22년도 전자회로실험 7주차 결과레포트 (A+자료)
    구성 사진 및 측정화면 사진은 실험 조원의 학생증 등 ID 가 보이도록 촬영함1. ... (아래 회로는 [예비 2] 회로에서 입력신호원 전원저항 ()을 포함하지 않은 회로이다. ... 한편, 푸시풀 증폭기의 입력 임피던스가 비교적 작기 때문에 입력신호원의 전원저항가 전체 회로 성능에 큰 영향을 줄 수 있다.
    리포트 | 30페이지 | 1,000원 | 등록일 2024.03.24
  • 실험 6 . 다이오드 리미터 회로와 클램퍼 회로의 특성 실험
    이내로 슬라이스하는 역할조합리미터회로 회로구성만을 이용하여 리미터회로로써의 역할을 하는 회로이다.선형 리미터 회로에 대해서 설명하시오회로를 구성하는 저항,인덕턴스,커패시터등의 소자의 ... 〈예제 6_1> 그림 6-2에 표시된 클리핑회로에서 입력신호에 따른 출력 신호파형을 구하시오. ... 클램핑 회로의 동작은 〈예제 6-2>를 통해서 살펴보자.〈풀이〉 +5V 상태로 입력 신호를 인가하면 회로는 그림 6-8에 표시된 것과 같이 동작된다.
    리포트 | 16페이지 | 1,000원 | 등록일 2023.07.12
  • 기초전자공학실험-반파 및 전파 정류
    하나의 다이오드를 사용한 회로에서 얻어진 반파 전압 신호는 피크 전압 Vm의 31.8%인 평균값 즉 등 가 직류 전압값을 갖는다.Vdc = 0.318Vpeak 이라 표현 할 수 있다.정파 ... 전형적으로 하나의 다이오드를 사용하는 반파 정류 회로에서 다이오드 양단에 걸리는 최대 전압은 인가된 정현파 신호의 피크 값과 동일하다. ... 이론개요하나의 다이오드를 사용한 회로에서 얻어진 그림 4-1의 반파 전압 신호는 피크 전압 Vm의 31.8%인 평균값 즉 등가 직류 전압 값을 갖는다.
    리포트 | 6페이지 | 2,000원 | 등록일 2023.02.09
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 06일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:32 오후
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기