• 통큰쿠폰이벤트-통합
  • 통합검색(862)
  • 리포트(818)
  • 시험자료(30)
  • 자기소개서(7)
  • 방송통신대(6)
  • 논문(1)

"2:1 MUX" 검색결과 21-40 / 862건

  • 부경대 전자공학과 디지털시스템설계 수업과정 코드들
    ieee;use ieee.std_logic_1164.all;entity mux41 isport ( s1, s0 : in std_logic;d3, d2, d1, d0 : in std_logic ... out std_logic );end and_vhdl;architecture and_2 of and_vhdl isbeginY ... ;y : out std_logic );end mux41;
    리포트 | 35페이지 | 3,000원 | 등록일 2022.03.21 | 수정일 2022.04.12
  • [방송통신대학교]컴퓨터구조_출석수업_평가과제(30점 만점)
    AC ← R1이며 주어진 R1의 값인 600이 AC에 적재된다. 레지스터에 오퍼랜드가 들어있기 때문에 유효주소는 없다.2. ... =========R7 ← R7 +1=========① A필드 : 제어단어 내역표에 의해 MUX A에 ‘111’의 선택신호가 주어지면 ALU의 A버스 입력으로 R7의 내용이 보내진다.② ... 신호가 필요하다.④ F필드 : (R7 + 1)은 R7을 1 증가 시키는 산술 연산이므로 제어단어 내역표 상에서 ‘F = A + 1’ 형태이고, 해당하는 2진 코드 값은 ‘000’이다
    방송통신대 | 6페이지 | 3,000원 | 등록일 2023.04.09
  • 충북대 디지털시스템설계 결과보고서4
    = 0)s _{0} ```000s _{1} ```001s _{1} ```001s _{1} ```001s _{2} ```010s _{2} ```010s _{2} ```010s _{3} ... 디지털시스템설계 실습 결과보고서학번이름1. 실험 제목Dedicated Microprocessor2. ... iLoad = 1로 mux에서 i값으로 20을 선택하여 register로 저장 시키고 sumMux = 1, sumLoad = 1로 mux에서 sum값으로 0을 선택하여 register로
    리포트 | 4페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 디시설, 디지털시스템설계 실습과제 6주차 인하대
    MUX_4_to_1 (if – else)그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과그림 SEQ 그림 \* ARABIC 2 : wave formMUX_4_to_1 (case ... If else 문을 사용한 mux와 비교해보면 S를 [1:0]의 2비트 변수로 설정해줬고 구현부에서 S의 값을 직접 비교하여 i0 ~ i3 중 원하는 값을 선택하도록 구현했다. ... 이후 if문을 통해 s1이 1일시 바로 다음 문장을 실행하도록 했고, s1이 0일결우 else 문을 실행하도록 했다. s1이 1일 때 s0의 0, 1여부를 확인해 (10)일 경우 i2
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 시립대 전전설2 A+ 5주차 예비레포트
    2, 1 ~ = LED85) 실습 52-bit 2:1 MUX 회로를 case문을 사용하여 설계하시오.입력 A[1:0]: Bus SW1, 2입력 B[1:0]: Bus SW7, 8입력 ... 3encoder4to2_2tb_encoder4to2_2Simulation4) 실습 4decoder3to8.vtb_decoder3to8.vSimulation5) 실습 5MUX2to1 ... .vtb_MUX2to1.vSimulation6) 응용 과제logic.vtb_logic.vSimulationKarnaugh-Maplogic2.vtb_logic2.vSimulation4
    리포트 | 26페이지 | 2,000원 | 등록일 2024.09.08
  • Mux&Decoder2차레포트 디지털회로설계
    이론 설명제 2장 각각의 schematic디자인, VHDL디자인(1) 1비트 2x1 Mux Schematic , VHDL(2) 2비트 2x1 Mux Schematic , VHDL(3 ... 설계하고 DE2 보드로 작동하기제 3장 요약 및 결론레포터의 목적(1) 1비트 2x1 Mux Schematic , VHDL(2) 2비트 2x1 Mux Schematic , VHDL ... DE2작동 사진을 찍어놓고 백업을 안하여 사진이 없다.(2) 2비트 2x1 Mux Schematic , VHDL-Schmatic-VHDL시뮬레이션 결과A,B,Y를 그룹으로 지정 후
    리포트 | 15페이지 | 2,000원 | 등록일 2022.01.05
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(예비) / 2021년도(대면) / A+
    S=0 이고 E=1 일 때는 B이며 나머지 경우에는 Z이다.- 2X1 multiplexer(MUX)의 형태이다.4. ... [실습 5] 2비트 2:1 MUX 회로를 case문을 사용하여 설계하시오.Source codeTestbenchf. ... 진리표로부터 논리회로를 Karnaugh Map을 이용한 최적화 방법으로 설계하시오.- ,(3) 교안의 2:1 Mux의 진리표로부터 논리회로를 Karnaugh Map을 이용한 최적화
    리포트 | 13페이지 | 2,000원 | 등록일 2022.07.16
  • 디지털 논리회로 실험 및 설계 4주차 예비보고서
    Mux에서 중에서 , 에 의해 입력값의 번호가 결정 된 이 2:1Mux에서 로 선택되어 가 될 것이고, 가 1이면 3bit의 수가 4이상 7이하이므로 아래쪽 4:1Mux에서 중에서 ... 입력값의 번호가 결정 된 가 2:1Mux에서 로 선택되어 가 될 것이다. ... 중에서 , 에 의해 입력값의 번호가 결정 된 이 2:1Mux에서 로 선택되어 가 될 것이고, 가 1이면 3bit의 수가 4이상 7이하이므로 아래쪽 4:1Mux에서 중에서 , 에 의해
    리포트 | 5페이지 | 2,000원 | 등록일 2023.01.31
  • 부산대 어드벤처디자인 결과보고서 8주차 A+보고서 1등보고서
    [그림 1] MUX 진리표[그림 2] MUX 논리회로Encoder란 2^n개의 입력과 n개의 출력 조합으로 구성되어 있는 논리회로이다. ... 데이터 입력, 제어입력, 데이터 출력을 가진다.4x1 MUX를 예시로 보면 논리회로와 진리표는 다음과 같다. ... 출력을 하는 NOR 게이트를 이용하여 입력이 1개, 출력이 2개인 1:2 디코더를 설계하였다.6.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.03.24
  • 디집적, 디지털집적회로설계 실습과제 7주차 인하대
    다음은 main 기능인 4-to-1 MUX다. 4-to-1 MUX는 2-to-1 MUX 3개를 사용해 작성했다. ... 다음 단락으로 넘어와서 OR gate까지 subckt는 앞서 작성한 adder와 동일하고 4-to-1 MUX를 작성하기 위해 2-to-1 MUX를 subckt으로 만들어 주었다. 2 ... 작성한 2-to-1 MUX subckt 3개를 사용해 작성했다.그림 SEQ 그림 \* ARABIC 6 : 4-to-1 MUX simulation그림 SEQ 그림 \* ARABIC 7
    리포트 | 8페이지 | 1,500원 | 등록일 2021.08.31
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab05(결과) / 2021년도(대면) / A+
    (5) [실습 5] 2비트 2:1 MUX 회로를 case문을 사용하여 설계하시오.Source codeTestbenchPin testbench 시뮬레이션 결과 설계한 2비트 2:1 MUX의 ... 또한 if 구문에서 출력값 f는 값을 할당받으므로 reg로 선언해주었다.- 실험(5)의 2비트 2:1 MUX 회로에서 출력할 데이터의 입력 단자는 선택 입력 신호(sel)에 의해서 ... / 출력은 Y0(LED1), Y1(LED2), Y2(LED3), Y3(LED4)A0A1Y0Y1Y2Y30*************0010110001(2) [실습 2] 교안의 4:2 인코더의
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • [A+보장]한양대에리카A+맞은 레포트,논리회로설게및실험,MUX & DEMUX
    Chapter 1. 실험 목적MUX와 DEMUX의 원리를 확인 할 수 있다. Chapter 2. 관련 이론1. ... Multiplexer(MUX)먹스는 여러 개의 회로에서 입력된 신호 중에서 어느 한 입력신호를 선택해 출력회로를 전달해주는 기능을 수행하는 데이터 선택 논리회로이다.
    리포트 | 9페이지 | 2,500원 | 등록일 2024.05.21
  • 한양대 MUX & DEMUX
    Chapter 2. 관련 이론먼저, 다중화기 : Multiplexer (MUX)란 다수의 정보 장치를 소수의 채널이나 선을 통해 전송하는 회로이다. ... Select 신호에 따라 Input 값 중 하나를 고르는 회로로, MUX의 크기는 입력선과 출력선의 개수에 따라 결정되며, 2^N개의 입력 중 하나를 선택해 그 값을 그대로 출력하는 ... 또한, 74LS157 소자와 7-segment를 사용해 4bit Multiplexer의 output을 확인해본다. 74LS155 소자를 이용해 1X4 DEMUX 와 1X8 DEMUX
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.21
  • 연세대학교 기초디지털실험 3주차 예비레포트 (combinational logic)
    The block diagram and truth table for 4:1 MUX is in pic 2 and 3.2. ... There are MUX, DEMUX, encorder and decorder.1. MUXMUX stands for multiplexer. ... DEMUXDEMUX, de-multiplexer, operates reversely compare to MUX.
    리포트 | 7페이지 | 1,500원 | 등록일 2021.08.18 | 수정일 2022.12.15
  • 서강대학교 21년도 디지털논리회로실험 4주차 결과레포트 (A+자료) - Multiplexer, Tri-State, Exclusive-OR gate
    M8_1E을 이용한 회로그림12-2 그림12-1의 회로를 브래드보드에 구현8 to 1 MUX를 이용해서 그림12-1와 같은 회로를 구현하고, 실제 회로에서 DIO0 ~ DIO2의 조합을 ... 또한 enable 신호가 있는 MUX는 enable신호를 만족시켜야 동작할 수 있다.그림1 – MUX의 구조MUX와 반대로, 하나의 입력을 m개의 목적지 충 하나와 연결하는 소자는 ... 로 생각한다면 binary decoder로 demux의 기능을 수행할 수 있음을 알 수 있다.2)우선 analog MUX와 digital MUX의 겉으로 봤을 때의 기능은 동일하다.
    리포트 | 35페이지 | 2,000원 | 등록일 2022.09.18
  • 디지털 논리회로2 설계과제
    순서로 MUX1 = [0 , 1 , 0], MUX2 = [Start, 0, Zero’] 이고,Ready = S_idle, Load_regs = S_idle•Start, Shift_regs ... = S_1, Decr_P = S_2 이므로G1, G0는 MUX의 Select 신호로 들어간다.DataPath 설계 ... Z1 > A 이면 비교기의 결과가1이다. 1이 Z[0](Z2의 LSB)에 시프트되고 감산기가 작동되어 감산의 결과가 Z1에 대입된다.
    리포트 | 5페이지 | 2,500원 | 등록일 2021.11.17
  • [서울시립대] A+ 전자전기컴퓨터설계2(Bcd converter 코드포함) 5주차예비레포트
    멀티플렉서 로직 설계② 2입력 멀티플렉서 Test Fixture 파일 생성③ 2입력 멀티플렉서 시뮬레이션 결과 확인응용 과제(총3문항)● 2비트 2 : 1 MUX 회로를 설계하시오입력 ... simulation=> 실습을 위한 PIN번호 설정● 4 : 1 MUX 회로를 설계하시오입력 A, B, C, D : 버튼 스위치 1, 2, 3, 4입력 S : BUS Switch ... 실험 목적:Decoder, Encoder, Mux 회로를 설계하여 결과를 확인한다.2.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.12.30
  • 논리회로설계실험_비교기,MUX,ALU 결과레포트
    비교기_MUX_ALU1. 실험 목표비교기, MUX, ALU를 설계해 본 후, 8가지 기능을 가진 ALU를 설계해본다. 시뮬레이션을 통해 올바르게 코딩을 했는지 확인한다. ... 고찰(1)이번에는 실습할 문제들이 상당히 많았다. 비교기 mux demux alu를 직접 설계해보는 시간이었는데 저 중 익숙한 것은 비교기와 mux밖에 없었다. ... 또한 procedure와 function문의 문법과 사용에 대해 알고 그 공통점과 차이점에 대해 이해한다.2.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.10.09
  • 시립대 전자전기컴퓨터 마이크로프로세서 Verilog를 통한 41 mux, ripple carry adder 구현
    Ripple carry adder구현 코드wave 결과고찰4.참고문헌.4:1 mux구현코드wave 결과- 4:1 mux의 truth table은 sel1, sel2에 00 넣어줬을 ... 때 out이 a값, sel1,sel2에 01을 넣어줬을 때 out이 b값, sel1,sel2에 10을 넣어줬을 때 out이 c값, sel1,se1에 11을 넣어줬을 때 d값이 출력된다 ... 이런 식으로 순차적으로 값을 증가시켰고 마지막에는 a0, a1, a2, a3, b0, b1, b2, b3, Cin에 모두 1을 넣어줬을 때 Cout 이 1이 나옴을 확인하였다.3 고찰이번
    리포트 | 5페이지 | 1,000원 | 등록일 2021.04.12 | 수정일 2021.04.16
  • 디시설, 디지털시스템설계 실습과제 4주차 인하대
    4 to 1 MUX그림 SEQ 그림 \* ARABIC 1 : 모듈구현 결과그림 SEQ 그림 \* ARABIC 2 : wave form8 to 1 MUX그림 SEQ 그림 \* ARABIC ... MUX와 기본논리는 동일하다. ... 단지 선택항목인 s2가 하나 더 늘어나 경우의 수가 많아진 것 뿐이다. 따라서 s2의 참 거짓으로 s1연산으로 진입하고 다시 s1의 참 거짓으로 s0 3항 연산으로 진입한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.08.31
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:39 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대